TWI497329B - 模型化系統、電腦模型化方法以及非暫時性電腦可讀儲存媒體 - Google Patents

模型化系統、電腦模型化方法以及非暫時性電腦可讀儲存媒體 Download PDF

Info

Publication number
TWI497329B
TWI497329B TW101128186A TW101128186A TWI497329B TW I497329 B TWI497329 B TW I497329B TW 101128186 A TW101128186 A TW 101128186A TW 101128186 A TW101128186 A TW 101128186A TW I497329 B TWI497329 B TW I497329B
Authority
TW
Taiwan
Prior art keywords
inductor
model
inductance
component
hole electrode
Prior art date
Application number
TW101128186A
Other languages
English (en)
Other versions
TW201337613A (zh
Inventor
Hsiao Tsung Yen
Yu Ling Lin
Chin Wei Kuo
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201337613A publication Critical patent/TW201337613A/zh
Application granted granted Critical
Publication of TWI497329B publication Critical patent/TWI497329B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Description

模型化系統、電腦模型化方法以及非暫時性電腦可讀儲存媒體
本發明係有關於積體電路模型化與模擬之工具。
許多電子裝置皆含有積體電路。隨著積體電路封裝技術的演進,使得數個積體電路能夠垂直堆疊於所謂的三維(3D)封裝中而減少印刷電路板水平方向的面積。一個替代的封裝技術,稱之為2.5D積體電路封裝,可使用半導體材料(例如矽)為一轉接板,用以將一或多個晶粒(die)耦接至印刷電路板。多個可能為不同製程的積體電路晶片會安裝在一轉接板上,並且在此轉接板上經由導體互相連結。轉接板因為半導體基體的電阻以及電容,而影響接合或耦接至此一轉接板上的積體電路其操作特性。
在晶粒皆是以矽為基礎的情況下,2.5D與3D積體電路封裝皆使用此基底通孔電極(through substrate via,TSV),或稱為矽通孔電極(through-silicon-via)。在使用基體通孔電極(through substrate via,TSV)技術的情況下,可增加半導體製造與封裝的複雜度。
根據本發明之一實施例提供了一種模型化系統,包括一分析電路處理器,用於分析電路於設計、製造及/或測試電路之射頻輸入訊號的響應。一轉接板模型儲存於上述處理器可存取的非暫時性電腦可讀儲存媒體。上述轉接板模 型經由上述電腦處理,輸出一代表TSV對於上述射頻訊號響應之資料。上述轉接板模型包括數個TSV模型,每個TSV模型都有一相對應三埠網路,每一個三埠網路的某一埠為浮動節點,每一上述三埠網路之上述浮動節點皆連接在一起。
根據本發明之一實施例提供了一種電腦模型化方法,包括:存取一儲存於有形之非暫時性電腦可讀儲存媒體的轉接板模型,上述轉接板模型包括數個TSV模型,而每個TSV模型有其相對應之三埠網路,三埠網路中的其中一埠為浮動節點,每個上述三埠網路之上述浮動節點連接在一起;及於一處理器處理上述轉接板模型,其中上述處理器經編程後分析一電路用以設計、製造及/或測試電路之射頻輸入訊號的響應,且由上述處理器輸出一代表TSV對射頻訊號響應之資料。
根據本發明之一實施例提供了一種永久且有形之可讀存取媒體由資料編碼,上述資料代表一轉接板模型。由電腦存取與處理的上述轉接板模型代表一TSV對射頻訊號之響應。上述轉接板模型包括多個TSV模型,每個TSV模型皆有其各自所屬三埠網路之資料,每一個三埠網路其中一埠為浮動節點且所有上述浮動節點都連接在一起。此模型可由一編程處理器存取,用以分析一至少包括一個TSV之電路對於用以設計、製造及/或測試電路之射頻輸入信號之響應。
閱讀此發明的描述時建議與附圖搭配,附圖也是整體描述的一部份。描述中,相對用語如較低、較高、水平、垂直、在上、在下、上、下、頂、底,以及衍生詞(例如:水平地、向下地、向上地等等)必須詮釋為當時所敘述或所論及的圖之方向。這些相對用語是為了敘述方便並且不需要架設或操作儀器在特定的方向上。有關連結(attachment)、耦接(coupling)與相似的用語,如連接(connected)與內連接(interconnected),指的是一種結構經由直接或間接地穿過中介結構而與另一個結構形成穩固或黏附的關係,除非有特殊說明,則其指的是可移動或穩固的黏附或關係。
在此提供一種於設計前或設計階段時模型化與模擬轉接板的頻率相依電容耦合與矽通孔電極的頻率相依電感耦合之工具和方法。這模型也適合描述新技術,如更小的幾何技術節點、新材料、新製程等等。
舉例而言,第1圖說明了一2.5D(2.5-dimensional)的積體電路封裝100,其中第一、第二晶片102、104耦接至安裝於封裝基體106上的轉接板108。晶片102、104以小的導電凸塊110接合至轉接板108,這些導電凸塊又叫做微凸塊(microbump,μ-bump)。導電凸塊111也可以用來將轉接板108耦接至封裝基體106。將晶片102、104耦接至轉接板108的導電凸塊110與將轉接板108耦接至封裝基體106的導電凸塊111可能有不同的尺寸與電氣特性。
轉接板108包括一半導體基體116,半導體基體116 具有一正面(主要)表面以及一背面(主要)表面,其上分別形成正面內連層結構(front-side interconnect layer)112以及背面內連層結構(back-side interconnect layer)114。在某些應用中,半導體基體116不接地而是浮接。正面內連層結構112與背面內連層結構112、114皆含有數個內金屬介電層(inter-metal dielectric layer),其中也包括穿孔層(via level layer)(V1、V2等)與金屬線層(M1、M2等)。如第1圖所示,正面連線層112包括設置於第一金屬層(即M1)之導體118、130與設置於第二金屬層(即M2)之導體132。位於正面連線層112的導體118可經由延伸自半導體基體116的正面表面126至半導體基體116的背面表面128的矽通孔電極124電性連接至背面連線層114的金屬導體122。
正面內連層結構112與背面內連層結構114也包括了不互相連接的導體130、132、134。雖然正面與背面的導體130、132、134並沒有互相電性連接,但2.5D積體電路封裝100操作過程中,導體130、132、134之間的電性耦合(即電容性與電感性耦合)依然會發生。導體間的電性耦合也會發生在半導體基體116的同側。例如,電性耦合可能發生於導體118與130之間,即使它們之間沒有任何導體連接。耦合也可能發生於穿越半導體基體116的相鄰矽通孔電極124之間。此導體間電性耦合會降低2.5D積體電路封裝的效能,典型的電阻電容萃取工具與基於萃取工具的結果所做的模擬並無法模型化(model)出電容與電感性耦合的頻率相依性,也因此造成使用此工具設計出來的 2.5D積體電路封裝的轉接板會與模擬時的頻率相依性有所不同。
第2圖為根據一實施例之用以模型化半導體轉接板的系統之方塊圖。系統200包括一個用於類比、射頻(RF)、混合訊號電路的電子設計自動化(electronic design automation,EDA)工具202,在某些實施例中,系統200包括一通用處理器201,並且處理器201是以一電腦程式碼所編程使其運作成一EDA工具。適合的EDA工具包括但不限於由安捷倫販售的先進設計系統(ADSTM ),其系統包括一電路圖擷取工具204與一電路模擬器206,其中電路模擬器206提供SPICE層的類比與射頻(RF)模擬。其他EDA工具202也可使用,如CADENCE VIRTUOSO SPECTRE電路模擬器。處理器201經編程後執行此工具,以分析一電路對於用以設計、製造及/或測試該電路之射頻(RF)輸入訊號的響應。
舉例來說,於其他實施例中,EDA工具可由包括特殊應用積體電路之特殊用途的硬體所實現。
EDA工具202是一具有特定目的的電腦,其由擷取儲存於一非暫時性電腦可讀儲存媒體216的指令217並由一通用處理器201執行此指令所組成。非暫時性電腦可讀儲存媒體216包括(但不限於此),唯讀記憶體(ROMs)、隨機存取記憶體(RAMs)、快閃記憶體等等。非暫時性電腦可讀儲存媒體212、216被用以儲存EDA工具202所使用的輸入項220、222、224,以及佈局與走線工具(place and route tool)208所產生的佈局資料214。
再者,非暫時性電腦可讀儲存媒體212、216可儲存複數元件模型218,如特性化過程中所用到的TSV模型219。該等元件模型需包括將半導體響應近似至寬頻電磁訊號之頻率相依電路模型。
儘管第2圖所顯示的範例包括兩個非暫時性電腦可讀儲存媒體212、216,任何正整數數量的非暫時性電腦可讀儲存媒體皆可被使用。雖然第2圖顯示資料被概要性地編制於複數特定方塊中,這些方塊並非對應至非暫時性電腦可讀儲存媒體212、216上特定單一實體的安排。根據EDA工具所使用的資料結構,這些資料會被編制於一或多個檔案或格式中。
EDA工具202也包括有一電磁(electromagnetic,EM)模擬工具210。特性化的過程中會需要使用到電磁模擬工具210。
佈局與走線工具208可以識別一積體電路或轉接板佈局中數個元件,並包括數個元件之間相互連線的連線表。佈局與走線工具208可以使用一組預設設計規則222,以及包括於技術文件224中的晶圓代工廠特定參數及/或技術節點之特定參數。由此產生的設計可再被饋入(fed-in)至一個靜態時間分析(static timing analysis,STA)工具210,此工具可包括電磁模擬工具(萃取寄生電阻值、電容值、電感值)或佈局寄生效應萃取(layout parasitic extraction,LPE)工具(萃取寄生電阻值、電容值)的模擬。在此實施例中,此LPE工具為由新思科技(Synopsys)所生產的StarRC與明導國際(Mentor Graphics)所生產的Calibre。 某些實施例中,電磁模擬軟體為ANSYS所生產的Ansoft HFSS。
再次參照第2圖,STA工具210接收此混合模型並執行一用以解析半導體基體116之頻率相依特性的模擬。某些使用者會址使用此工具中的寄生電阻、電容之計算。這樣的使用者可單獨使用LPE工具,用以計算存在於金屬走線間的寄生效應。若是走線間的RLC效應需要萃取,使用者可以使用電磁模擬工具。
第3圖顯示轉接板108之一部分的詳細視圖,其中轉接板108包括TSV 124。轉接板108包括一個半導體基體116,半導體基體116根據不同應用實例,可為矽基體、三五族化合物的基體、矽鍺(SiGe)基體、絕緣層上矽(SOI)基體、或顯示基體(例如液晶顯示器(LCD)、電漿顯示器基體、電致發光燈顯示(electroluminescence lamp display)基體、或發光二極體(LED)基體)。
半導體基體116具有形成於其上之一正面內連層結構112,正面內連層結構包括數個內連線層112a-112c。內連線層112a-112c組成材料與積體電路後段製程(back end of line,BEOL)的材料相同。這些內連線層包括數個介電層(dielectric layers)112a、數個護層(passivation layers)112b、以及數個蝕刻停止層(etch stop layers)112c。介電層112a的材料可能為氮化矽、氧化矽、二氧化矽、氮氧化矽、低介電值絕緣(low-k dialectric)、或超低介電係數(ELK)材料。在一實施例中,半導體基體116的材質為矽、介電質112a的材質為未掺雜矽玻璃(undoped silicate glass,USG)、護層112b的材質為氮化矽、蝕刻停止層112c的材質為碳化矽。
數個導電圖形132(如銅線圖形)形成於正面內連層結構112之線路層。不同層的連線圖形經由導電穿孔(conductive via)133而相互連接。某些實例中會在連線結構112的最頂金屬層之上還會有一再分配層(RDL)140。再分配層的目的是用來連接晶粒(die)與晶粒間的焊墊(pad)與探測點(probing)。因為再分配層擁有比底層金屬更低的阻抗,在某些實施例中再分配層甚用來作為相互連線之用,而其他實施例中,在分配層是用來扇出(fan out)連線之用。
轉接板模型500具體的存於一個能被處理器201存取的非暫行性電腦可讀儲存媒體中。處理器201處理此轉接板模型500後,輸出一代表TSV 124對射頻信號響應的資料。
第5A圖與第5B圖顯示轉接板模型500的實施例。轉接板模型可被用以表示任何複數TSV要求的配置。舉例而言,第5A圖顯示一轉接板模型500的配置,包括一個中心的TSV 400C以及圍繞在其周圍的八個TSV 400。這個基本的配置可用以計算TSV與TSV之間的距離。第5B圖概略地顯示出一個第5A圖中TSV配置的模型,在這個例子中,假設TSV 400與其他TSV、連線圖形、或穿孔(未被顯示出)有足夠的空間,以致可以忽略其他TSV、連線圖形或穿孔。
在第5B圖中,轉接板模型500具有複數接地之TSV 模型400,每一個TSV模型400都有一個相對應的三埠網路。每一個三埠網路的第一埠411耦接至具有第一電位(例如ground或Vss)的節點421。每個三埠網路的第二埠412皆是開路而不接收任何訊號;第三埠413皆是浮接點(在此的浮接點是一模型或電路圖中的內部節點)。所有三埠網路的浮接點413全部相連,但卻不耦接至任一外部節點。中心的TSV 400C之第一埠411耦接至具有第二電位之節點422;TSV 400C的第二埠412是開路而不耦接到任何訊號。TSV 400C的第三埠413是一個浮接點,同時也接到其他複數TSV的浮接點。第5B圖係用來說明圖示中彼此電性連接的浮接點,以及TSV之間的電性耦合效應的例子。在某些實施例中,節點412會透過穿孔及/或導線而耦接至內連線。
第4圖為一個以離散元件模型化第3圖所示之單一TSV124之模型400。在某些實施例中,在第5B圖中的每個模型400都是根據第4圖中的電路圖所配置。
如第4圖所示,每個三埠網路的模型400包括:耦接至浮動節點413的電容元件403,以及耦接至電容元件403的第一電感元件401與第二電感元件402。電感元件401、402代表位於TSV 124中心縱軸上的離散電感,而電容元件代表從中心TSV徑向放射的離散電感。此電感元件401、402與電容元件403連接成一”T”形構造。
上述電容元件403包括一代表TSV襯墊層125之電容的固定電容元件C,以及分別代表轉接板的電容可變輸入元件Csub與電阻可變輸入元件Rsub。因為每一個TSV 124 的襯墊層125本質上是相同的,因此固定電容元件C之電容值為定值。電容可變輸入元件Csub之電容值以及電阻可變輸入元件Rsub之電阻值係可變的,因此可以在模型化過程中調整半導體基體116的電容與電阻以表現與相鄰TSV之間的距離差異。該TSV模型400可經由調整代表轉接板之電容值的電容可變輸入元件Csub與代表轉接板之電阻值的電阻可變輸入元件Rsub,以方便表示不同鄰近複數TSV間的距離。舉例而言,如第5圖所示,在一被數個TSV圍繞著的TSV之模型500中,TSV至TSV之間的距離所產生的效應可以不同的電容可變輸入元件Csub與電阻可變輸入元件Rsub所描述。在某些實施例中,上述固定電容C是與上述可變電容Csub與可變電阻Rsub相串聯,而Csub與Rsub則相並聯。
第一電感元件401與第二電感元件402皆包括至少兩個電感值互相不同的電感。在一實施例中,至少兩個電感包括彼此並聯之第一電感Ls與第二電感Ls1;而在某些實施例中,至少兩個電感包括串聯之第一電感Ls與第二電感Ls1。在某些實施例中,至少兩個電感包括彼此並聯之第一電感Ls與第二電感Ls1,以及與第一電感Ls與第二電感Ls1串聯之第三電感L1(或L2)。第三電感L1(或L2)的電感值至少與第一電感Ls、第二電感Ls1其中之一的電感值不同。
第一電感元件401中的第一電阻Rs、第二電阻Rs1、第一電感Ls、第二電感Ls1代表一個電路元件中的電阻與電感(也就是讓TSV接合於半導體基體116之第一面的著 路接合墊(landing pad))。第二電感元件402中的第一電阻Rs、第二電阻Rs1、第一電感Ls、第二電感Ls代表半導體基體116第二面(第一面的對面)的電路元件。第一(二)電感元件401(402)的第三電感L1(L2)代表TSV上(下)半部的自感(self-inductance)。
如第4圖所示,第一電感元件401(或第二電感元件402)具有一三條並聯路徑的並聯網路:第一條路徑包括一固定電阻R串聯一固定之第三電感L1(L2)、第二條路徑具有一可調第一電阻Rs與可調第一電感Ls、第三條路徑具有可調第二電阻Rs1與可調第二電感Ls1,第二、第三條路徑皆與固定電阻R相並聯。在某些實施例中,電感元件401與電感元件402的電感值會相同;而其他實施例中,為了更精確的模型化任何不對稱的TSV組態,電感元件401的任何電阻R、第三電感L1、第一電阻Rs、第一電感Ls、第二電阻Rs1、第二電感Ls1之值可能與電感元件402中相對應者不同。例如,如果TSV的截面尺寸比鄰近轉接板表面還大卻又比鄰近另一轉接板表面還小時,這種非對稱性可以調整任一電感元件401、402中的任何參數來近似。
這些可調參數(例如第一電阻Rs、第一電感Ls、第二電阻Rs1、第二電感Ls1)允許模型400調整成與一實際TSV於寬頻頻帶之響應相符合。頻帶從低頻30Hz展延至極高頻(extremely high frequency,EHF)300GHz,TSV的電阻、電容、電感並不會一直維持定值。例如,第6A-6C圖顯示一TSV於頻帶0至30GHz中的電阻、電容、電感值 之測試資料,圓圈代表矽晶測試之資料點,而實線則顯示由第4、5A、5B圖中的模型所預測出來的數值。模型預測出來的阻抗實部與實驗數據相較,誤差於10%內;同樣的模型預測出來的傳輸係數S21也是相同精準度。在其他實施例中,當模型於模擬頻率範圍100MHz至100GHz皆由EDA工具分析時,第一電阻Rs、第一電感Ls、第二電阻Rs1、第二電感Ls1之參數會被調整至與TSV效能表現非常接近。
再者,這些調整的參數也可調整出電感值與電容值對溫度的函數,以反應TSV操作於不同溫度的情況。
除了特徵化TSV間距離的效應外,此模型也可用於描述轉接板佈局與製造過程中其他的參數。例如,第一電阻Rs、第二電阻Rs1、第一電感Ls以及第二電感Ls1可隨著不同TSV的尺寸而改變,而且電容C也可改變以特徵化襯墊厚度所造成的影響。再者,第5A與5B圖的模型可再增加被動元件,如金屬導線與傳輸線。
其他接地的TSV或經電壓偏壓的TSV圍繞著一TSV之佈局與配置也可經由改變第5B圖的配置而輕易描述。例如,任何數量的接地TSV或電壓偏壓的TSV皆可使用,技術上此沒有AC訊號的電壓偏壓TSV被視為AC接地。發明人發現,當模型化圍繞於一TSV之接地TSV數量越多時,寄生電容的總和將越接近代表襯墊層之電容C。對於相對少數之環繞接地TSV,發現其整體寄生電容值將近似為:
其中Cap(TSV-to-TSV) 為兩個TSV間的電容,Cap(TSV) 為襯墊電容,N為環繞之接地電容數量。
第7圖係顯示模型化TSV之電腦實現方法流程圖。
在步驟700時,特徵化資料已收集完成。例如一個實驗設計需包括製造一矽轉接板之樣本,其具有不同TSV間距以及以網路分析儀量測從頻率100MHz至100GHz TSV的寄生電阻、電容、電感。在其他實施例中,特徵化資料由許多不同TSV間距以及多個不同操作溫度。某些實施例中,步驟700只有在新製程時才會執行一次,此模型調整完調整參數後即可用來預測TSV射頻之效能。
在步驟702中,轉接板模型儲存於一有形的非暫時性可讀儲存媒體(即一個非暫時性電腦可讀儲存媒體)中。此模型包括一定義如第4圖所示之TSV模型元件與連線之連線表,與一組模型中固定電阻、電容、電感值。模型可進一步包括定義轉接板上數個TSV之連線表如第5B圖所示。上述轉接板模型有數個TSV模型,每個TSV模型都各自的三埠網路,每個三埠網路中有一埠為浮動節點,且所有三埠網路的上述浮動節點皆連接在一起。
在步驟704中,儲存於非暫時性電腦可讀儲存媒體中的轉接板模型被一編程的處理器所存取。某些實施例中,上述處理器檢索定義模型中離散元件之佈局與每個定值元件之值的連線表。
在步驟706中,調整此可調的電容與電阻至至少反應一個TSV間的間距。在某些實施例中,每次執行步驟706時,一腳本(script)程式自動進入一個迴圈,迴圈中產生不同的可調輸入數值並提供給處理器。在其他實施例中,一使用者對其中之一的可調輸入訊號給予一或多個數值。
在步驟708中,上述處理器執行電路EM模擬程式來處理轉接板模型。上述處理器是編程用以分析TSV模型的離散電路表示方式,進而分析TSV電路於設計、製造及/或測試電路之一輸入射頻訊號的響應。
在步驟710中,上述處理器輸出資料表示一TSV對射頻訊號之響應。此輸出資料可能具有許多不同的格式,例如上述輸出資料可能包括儲存於一非暫時性電腦可讀儲存媒體中或以表格或圖形格式顯示或列印。此輸出資料可包括如TSV電阻、電感、電容以及S21的幅值與相位之頻率的函數。上述輸出資料也可以TSV間距之函數的形式顯示任一這些參數中的變異。
步驟704至710可被重複任意的次數,不論由程式或由使用者手動控制皆可。
在步驟712中,一轉接板的佈局全局是根據由轉接板模型得來之上述輸出資料設計或修改而成。
在步驟714中,模型化或模擬全轉接板的上述電路佈局全局。舉例來說,如果上述轉接板有個與第5圖用以特徵化的3x3模型所不同之TSV配置,則可使用其他的轉接板配置模型。再者,其他與射頻效能有關的轉接板節點也可包括於這個模型。
步驟712與714可被重複任意次數直到滿足設計者的規格為止。
在步驟716中,最終的轉接板設計已經設計定案交付(tape out),製造上述配置的轉接板之光罩也已確定。
在步驟718中,包括上述轉接板配置的2.5D與3D積體電路封裝已製造。
上述的例子包括一轉接板且TSV延伸貫穿其上。在某些實施例中,多個晶粒以所謂2.5D積體電路封裝的配置方式直接安置於上述轉接板上;其他實施例中,以3D積體電路封裝的配置,數個堆疊的晶粒可內連接於上述轉接板上。
某些實施例中,一模型化系統包括一分析電路處理器,用於分析電路於設計、製造及/或測試電路之射頻輸入訊號響應。一轉接板模型儲存於上述處理器可存取的非暫時性電腦可讀儲存媒體。上述轉接板模型經由上述電腦處理,輸出一代表TSV對於上述射頻訊號響應之資料。上述轉接板模型包括數個TSV模型,每個TSV模型都有一相對應三埠網路,每一個三埠網路的某一埠為浮動節點,每一上述三埠網路之上述浮動節點皆連接在一起。
某些實施例中,一種電腦模型化方法包括:存取一儲存於有形之非暫時性電腦可讀儲存媒體的轉接板模型,上述轉接板模型包括數個TSV模型,而每個TSV模型有其相對應之三埠網路,三埠網路中的其中一埠為浮動節點,每個上述三埠網路之上述浮動節點連接在一起;及於一處理器處理上述轉接板模型,其中上述處理器經編程後分析一 電路用以設計、製造及/或測試電路之射頻輸入訊號的響應,且由上述處理器輸出一代表TSV對射頻訊號響應之資料。
某些實施例中,一永久且有形之可讀存取媒體由資料編碼,上述資料代表一轉接板模型。由電腦存取與處理的上述轉接板模型代表一TSV對射頻訊號之響應。上述轉接板模型包括多個TSV模型,每個TSV模型皆有其各自所屬三埠網路之資料,每一個三埠網路其中一埠為浮動節點且所有上述浮動節點都連接在一起。此模型可由一編程處理器存取,用以分析一至少包括一個TSV之電路對於用以設計、製造及/或測試電路之射頻輸入信號之響應。
在此描述之系統與方法至少可部分體現於電腦執行處理器與儀器的形式,進而演練該程序。此公開之方法至少也可體現於以電腦程式碼119編碼的有形非暫時性電腦可讀儲存媒體。上述有形非暫時性電腦可讀儲存媒體包括像是RAMs、ROMs、CD-ROMs、DVD-ROMs、BD-ROMs、硬碟驅動器、快閃記憶體、或其他非暫時性電腦可讀儲存媒體,其中當電腦程式碼被電腦裝載且執行時,電腦變成一台用來練習上述方法的儀器。上述方法也可至少部分體現於一台裝載及/或執行程式碼之電腦的形式,此時電腦就變成一台用來練習上述方法的特定用途儀器。當執行於一台通用處理器時,某些段落的程式碼配置此處理器產生特定邏輯電路。上述方法也可至少部分體現於數位信號處理器,上述數位信號處理器由特殊應用之積體電路組成用以執行上述方法。
本發明雖以各種實施例揭露如上,然而其僅為範例參考而非用以限定本發明的範圍,任何熟習此項技藝者,在不脫離本發明之精神和範圍內,當可做些許的更動與潤飾。
100‧‧‧積體電路封裝
102‧‧‧第一晶片
104‧‧‧第二晶片
106‧‧‧封裝基體
108‧‧‧轉接板
110、111‧‧‧導電凸塊
112‧‧‧正面內連層結構
112a‧‧‧介電層
112b‧‧‧護層
112c‧‧‧蝕刻停止層
114‧‧‧背面內連層結構
116‧‧‧半導體基體
118、122、130、132、134‧‧‧導體
124‧‧‧矽通孔電極
125‧‧‧襯墊層
126‧‧‧正面表面
128‧‧‧背面表面
133‧‧‧導電穿孔
140‧‧‧再分配層
200‧‧‧系統
201‧‧‧處理器
202‧‧‧電子設計自動化工具
204‧‧‧電路圖擷取工具
206‧‧‧電路模擬器
208‧‧‧佈局與走線工具
210‧‧‧靜態時間分析工具(包含電磁模擬工具)
212、216‧‧‧非暫時性電腦可讀儲存媒體
214‧‧‧佈局資料
217‧‧‧指令
218‧‧‧元件模型
219、400‧‧‧TSV模型
220‧‧‧積體電路設計與元件資訊
222‧‧‧設計規則
224‧‧‧技術文件
400C‧‧‧中心的TSV
401‧‧‧第一電感元件
402‧‧‧第二電感元件
403‧‧‧電容元件
411‧‧‧第一埠
412‧‧‧第二埠
413‧‧‧浮接點
421、422‧‧‧節點
500‧‧‧轉接板模型
第1圖係顯示具有半導體轉接板之2.5D積體電路的示意圖。
第2圖係顯示用以模型化第1圖的半導體轉接板之系統的方塊圖。
第3圖係顯示第1圖之半導體轉接板之基體通孔電極之細部示意圖。
第4圖係顯示經第2圖之電腦系統運算後之基體通孔電極等效模型之示意圖。
第5A圖係顯示一基體通孔電極(through substrate via,TSV)被八個接地的基體通孔電極(through substrate via,TSV)包圍之例子。
第5B圖係顯示轉接板等效模型示意圖,其中基體通孔電極(through substrate via,TSV)以第5A圖之方式排列。
第6A-6C圖分別顯示實驗量測與經由模型估算出來之電阻、電感與電容質之比較。
第7圖係顯示特徵化基體通孔電極之方法的操作流程圖。
200‧‧‧系統
201‧‧‧處理器
202‧‧‧電子設計自動化工具
204‧‧‧電路圖擷取工具
206‧‧‧電路模擬器
208‧‧‧佈局與走線工具
210‧‧‧靜態時間分析工具(包含電磁模擬工具)
212、216‧‧‧非暫時性電腦可讀儲存媒體
214‧‧‧佈局資料
217‧‧‧指令
218‧‧‧元件模型
219‧‧‧TSV模型
220‧‧‧積體電路設計與元件資訊
222‧‧‧設計規則
224‧‧‧技術文件

Claims (10)

  1. 一種模型化系統,適用於一電腦,包括:一處理器,編程為用以分析一電路,以判斷上述電路於設計、製造或測試時,對於射頻輸入訊號之響應;以及一轉接板模型,有形地體現於上述處理器可存取之一非暫時性電腦可讀儲存媒體,由上述電腦所處理之上述轉接板模型輸出代表一矽通孔電極對上述射頻訊號之響應的資料,上述轉接板模型包括複數矽通孔電極模型,每個上述矽通孔電極模型具有對應之三埠網路,每個上述三埠網路的其中一埠為一浮動節點,每個上述三埠網路的上述浮動節點皆耦接在一起。
  2. 如申請專利範圍第1項所述之模型化系統,其中每個上述三埠網路包括:一電容元件,耦接至上述浮動節點;以及一第一電感元件以及一第二電感元件,耦接至上述電容元件;其中上述電容元件包括一固定電容元件以及複數可變輸入元件,其中上述固定電容元件代表一矽通孔電極襯墊層之一電容值,而上述可變輸入元件代表上述轉接板之電容值與電阻值;以及其中上述矽通孔電極模型透過調整代表上述轉接板之電容值與電阻值之上述可變輸入元件以反映相鄰之上述矽通孔電極之間的不同距離。
  3. 如申請專利範圍第2項所述之模型化系統,其中各上述第一電感元件與上述第二電感元件包括具有不同電感 值之至少二電感;其中至少二上述電感包括:一第一電感以及一第二電感,互相並聯;以及一第三電感,與上述第一電感以及上述第二電感相串聯,上述第三電感之電感值至少與上述第一電感以及上述第二電感其中一者不同;上述電容元件包括一固定電容元件以及複數可變輸入元件,其中上述固定電容元件代表一矽通孔電極襯墊層之一電容值,而上述可變輸入元件代表上述轉接板之電容值與電阻值。
  4. 如申請專利範圍第3項所述之模型化系統,其中:上述第一電感元件之上述第一電感以及上述第二電感,代表轉接板第一面電路元件之電感值;上述第二電感元件之上述第一電感以及上述第二電感,代表轉接板第二面(相對於第一面)電路元件之電感值;以及上述第三電感,代表矽通孔電極的自感值。
  5. 一種電腦模型化方法,包括:存取儲存於一非暫時性電腦可讀儲存媒體的一轉接板模型,上述轉接板模型包括複數矽通孔電極模型,每個上述矽通孔電極模型具有對應之三埠網路,每個上述三埠網路的其中一埠為一浮動節點,每個上述三埠網路的上述浮動節點皆耦接在一起;於一處理器處理之上述轉接板模型,其中上述處理器編程為用以分析一電路,以判斷上述電路於設計、製造或 測試時,對於射頻輸入訊號之響應;以及由上述處理器輸出代表矽通孔電極對射頻訊號響應之一資料。
  6. 如申請專利範圍第5項所述之電腦模型化方法,更包括:根據上述資料,提供一轉接板佈局配置;形成一套生產上述轉接板配置之轉接板光罩;以及製造包括上述轉接板佈局配置之2.5D或3D之一積體電路封裝。
  7. 如申請專利範圍第5項所述之電腦模型化方法,其中每個上述三埠網路包括:一電容元件,連接至上述浮動節點;以及一第一電感元件以及一第二電感元件,耦接至上述電容元件;以及其中上述電容元件包括一固定電容元件以及複數可變輸入元件,其中上述固定電容元件代表一矽通孔電極襯墊層之一電容值,而上述可變輸入元件代表上述轉接板之電容值與電阻值,其中上述矽通孔電極模型透過調整代表上述轉接板之電容值與電阻值之上述可變輸入元件以反映相鄰之上述矽通孔電極之間的不同距離。
  8. 如申請專利範圍第7項所述之電腦模型化方法,其中上述第一電感元件以及上述第二電感元件皆包括具有不同電感值之至少二電感;其中上述至少二電感包括:一第一電感以及一第二電感,相互以並聯連接;以及 一第三電感,和上述第一電感以及上述第二電感相串聯,且上述第三電感之電感值至少與上述第一電感以及上述第二電感其中之一的電感值不同。
  9. 一種非暫時性電腦可讀儲存媒體,用以儲存一資料,上述電腦可記錄媒體用以載入至一電腦系統中並且使得上述電腦系統執行一種模型化方法,上述資料包括:一轉接板模型,由電腦存取與處理的上述轉接板模型輸出代表上述矽通孔電極對射頻信號響應之一資料;上述轉接板模型包括複數矽通孔電極模型,每一上述矽通孔電極模型都有代表其相對應三埠網路之一資料,每個上述三埠網路其中之一埠皆為一浮動節點,每個上述三埠網路的上述浮動節點都耦接在一起;以及上述模型可被經編程後的一處理器存取,用以判斷包括至少一矽通孔電極之一電路對於射頻訊號的響應,作為設計、製造或測試此電路之依據。
  10. 如申請專利範圍第9項所述之非暫時性電腦可讀儲存媒體,其中每個上述三埠網路包括:一電容元件,耦接至上述浮動節點,包括:一固定電容元件以及複數可變輸入元件,其中上述固定電容元件代表一矽通孔電極襯墊層之一電容值,而上述可變輸入元件代表上述轉接板之電容值以及電阻值;以及一第一電感元件以及一第二電感元件,耦接至上述電容元件,每個上述電感元件包括:一第一電感以及一第二電感,以並聯耦接;以及一第三電感,與上述第一電感以及上述第二電感串 聯,且上述第三電感至少與上述第一電感以及上述第二電感其中之一的電感值不同。
TW101128186A 2012-03-14 2012-08-06 模型化系統、電腦模型化方法以及非暫時性電腦可讀儲存媒體 TWI497329B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/419,959 US9633149B2 (en) 2012-03-14 2012-03-14 System and method for modeling through silicon via

Publications (2)

Publication Number Publication Date
TW201337613A TW201337613A (zh) 2013-09-16
TWI497329B true TWI497329B (zh) 2015-08-21

Family

ID=49135247

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101128186A TWI497329B (zh) 2012-03-14 2012-08-06 模型化系統、電腦模型化方法以及非暫時性電腦可讀儲存媒體

Country Status (4)

Country Link
US (1) US9633149B2 (zh)
KR (1) KR101399828B1 (zh)
CN (1) CN103310031B (zh)
TW (1) TWI497329B (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8791550B1 (en) 2013-01-15 2014-07-29 International Business Machines Corporation Hybrid conductor through-silicon-via for power distribution and signal transmission
US9059051B2 (en) * 2013-05-08 2015-06-16 International Business Machines Corporation Inline measurement of through-silicon via depth
US8910101B1 (en) * 2013-10-11 2014-12-09 Taiwan Semiconductor Manfacturing Co., Ltd. Systems and methods for determining effective capacitance to facilitate a timing analysis
US9104835B2 (en) 2013-10-11 2015-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for determining effective capacitance to facilitate a timing analysis
US9269640B2 (en) 2013-10-31 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing monolithic stacked integrated circuits with a redundant layer and lithography process
US9141745B2 (en) * 2013-10-31 2015-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for designing Fin-FET semiconductor device
CN104636526B (zh) * 2013-11-13 2018-06-19 上海华虹宏力半导体制造有限公司 硅通孔的失配模型方法
US9773754B2 (en) 2014-12-05 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Input output for an integrated circuit
CN103745069A (zh) * 2014-01-26 2014-04-23 上海交通大学 三维集成电路中tsv的信号传输及功耗模型的建立方法
US9582630B2 (en) * 2014-08-28 2017-02-28 Taiwan Semiconductor Manufacturing Company Limited System and method for creating hybrid resistance and capacitance (RC) netlist using three-dimensional RC extraction and 2.5 dimensional RC extraction
US10677995B2 (en) 2014-10-23 2020-06-09 Hewlett Packard Enterprise Development Lp Optical fiber interface for optical device package
WO2016064426A1 (en) 2014-10-24 2016-04-28 Hewlett Packard Enterprise Development Lp Optical interconnect device
WO2016122490A1 (en) 2015-01-28 2016-08-04 Hewlett Packard Enterprise Development Lp Laser-written optical routing systems and method
US10283171B2 (en) 2015-03-30 2019-05-07 Taiwan Semicondutor Manufacturing Company, Ltd. Stacked die semiconductor device with separate bit line and bit line bar interconnect structures
US9971970B1 (en) * 2015-04-27 2018-05-15 Rigetti & Co, Inc. Microwave integrated quantum circuits with VIAS and methods for making the same
US10379156B2 (en) 2015-05-29 2019-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Bump ball testing system and method
US9627411B2 (en) 2015-06-05 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional transistor and methods of manufacturing thereof
US9672319B1 (en) * 2015-06-29 2017-06-06 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs with a pseudo-3D analysis mechanism
US10204205B2 (en) 2016-01-07 2019-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of determining colorability of a semiconductor device and system for implementing the same
US10037897B2 (en) 2016-11-29 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Inter-fan-out wafer level packaging with coaxial TIV for 3D IC low-noise packaging
US10930603B2 (en) 2016-03-22 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Coaxial through via with novel high isolation cross coupling method for 3D integrated circuits
US10043745B2 (en) 2016-04-01 2018-08-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package devices integrated with inductor
US9905471B2 (en) 2016-04-28 2018-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method forming trenches with different depths
US10222412B2 (en) 2016-06-01 2019-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. IC degradation management circuit, system and method
US10539617B2 (en) 2016-06-02 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Scan architecture for interconnect testing in 3D integrated circuits
US10685911B2 (en) 2016-06-30 2020-06-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US9893189B2 (en) 2016-07-13 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for reducing contact resistance in semiconductor structures
US10163690B2 (en) 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. 2-D interconnections for integrated circuits
US11121301B1 (en) 2017-06-19 2021-09-14 Rigetti & Co, Inc. Microwave integrated quantum circuits with cap wafers and their methods of manufacture
US11276727B1 (en) 2017-06-19 2022-03-15 Rigetti & Co, Llc Superconducting vias for routing electrical signals through substrates and their methods of manufacture
KR102374111B1 (ko) * 2017-08-21 2022-03-14 삼성전자주식회사 이미지 센서를 제조하는 방법 및 시스템
KR101875837B1 (ko) * 2018-03-30 2018-07-06 한국과학기술원 실리콘 관통전극의 측면 비균일성 감지 방법 및 측면 비균일성을 감지하는 프로그램을 저장한 컴퓨터 판독가능 기록매체
US11172142B2 (en) 2018-09-25 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor for sensing LED light with reduced flickering
US10860768B1 (en) * 2018-09-29 2020-12-08 Mentor Graphics Corporation Through silicon vias to interconnect electrical parasitic extraction
CN109492267B (zh) * 2018-10-18 2023-04-28 上海华虹宏力半导体制造有限公司 一种soi工艺中的射频电阻模型
CN109743034A (zh) * 2018-11-16 2019-05-10 西安电子科技大学 基于硅通孔的低通滤波器设计方法
US10861808B2 (en) 2018-11-21 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure of dies with dangling bonds
US11068636B2 (en) * 2019-04-05 2021-07-20 Samsung Electronics Co., Ltd. Method for semiconductor package and semiconductor package design system
US11239193B2 (en) 2020-01-17 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11437323B2 (en) 2020-06-03 2022-09-06 Hewlett Packard Enterprise Development Lp Silicon interposer for capacitive coupling of photodiode arrays
US11101905B1 (en) * 2020-07-08 2021-08-24 Keysight Technologies, Inc. Method for estimating radiative contamination at nodes of an RF circuit
CN115374734A (zh) * 2021-05-20 2022-11-22 长鑫存储技术有限公司 建模方法、装置、计算机设备及存储介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010029601A1 (en) * 2000-03-27 2001-10-11 Kabushiki Kaisha Toshiba Semiconductor device analyzer, method for analyzing/manufacturing semiconductor device, and storage medium storing program for analyzing semiconductor device
US20090319965A1 (en) * 2008-06-24 2009-12-24 Vinod Kariat Method and apparatus for thermal analysis of through-silicon via (tsv)
TW201145454A (en) * 2010-03-03 2011-12-16 Ati Technologies Ulc Dummy TSV to improve process uniformity and heat dissipation
TW201209987A (en) * 2010-08-26 2012-03-01 Powertech Technology Inc Chip structure having TSV connections and its stacking application

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291322B1 (en) 1999-03-04 2001-09-18 Snaketech, Inc. Method for modeling noises in an integrated circuit
US7968975B2 (en) * 2008-08-08 2011-06-28 International Business Machines Corporation Metal wiring structure for integration with through substrate vias
US8146032B2 (en) 2009-01-30 2012-03-27 Synopsys, Inc. Method and apparatus for performing RLC modeling and extraction for three-dimensional integrated circuit (3D-IC) designs
US8604603B2 (en) * 2009-02-20 2013-12-10 The Hong Kong University Of Science And Technology Apparatus having thermal-enhanced and cost-effective 3D IC integration structure with through silicon via interposers
US8263434B2 (en) * 2009-07-31 2012-09-11 Stats Chippac, Ltd. Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP
US8008121B2 (en) * 2009-11-04 2011-08-30 Stats Chippac, Ltd. Semiconductor package and method of mounting semiconductor die to opposite sides of TSV substrate
US8856710B2 (en) * 2011-06-29 2014-10-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool and method for modeling interposer RC couplings
US8607179B2 (en) * 2011-11-25 2013-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. RC extraction methodology for floating silicon substrate with TSV

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010029601A1 (en) * 2000-03-27 2001-10-11 Kabushiki Kaisha Toshiba Semiconductor device analyzer, method for analyzing/manufacturing semiconductor device, and storage medium storing program for analyzing semiconductor device
US20090319965A1 (en) * 2008-06-24 2009-12-24 Vinod Kariat Method and apparatus for thermal analysis of through-silicon via (tsv)
TW201145454A (en) * 2010-03-03 2011-12-16 Ati Technologies Ulc Dummy TSV to improve process uniformity and heat dissipation
TW201209987A (en) * 2010-08-26 2012-03-01 Powertech Technology Inc Chip structure having TSV connections and its stacking application

Also Published As

Publication number Publication date
KR101399828B1 (ko) 2014-05-27
KR20130105231A (ko) 2013-09-25
TW201337613A (zh) 2013-09-16
US9633149B2 (en) 2017-04-25
CN103310031B (zh) 2017-03-01
CN103310031A (zh) 2013-09-18
US20130246990A1 (en) 2013-09-19

Similar Documents

Publication Publication Date Title
TWI497329B (zh) 模型化系統、電腦模型化方法以及非暫時性電腦可讀儲存媒體
US8856710B2 (en) Tool and method for modeling interposer RC couplings
US9021412B2 (en) RC extraction methodology for floating silicon substrate with TSV
US9223919B2 (en) System and method of electromigration mitigation in stacked IC designs
US10418354B2 (en) Integrated circuit and computer-implemented method of manufacturing the same
US20140033149A1 (en) Capturing mutual coupling effects between an integrated circuit chip and chip package
US8250506B2 (en) Bondwire design
TWI401780B (zh) 可測試直通矽晶穿孔的結構及方法
TWI509444B (zh) 金屬走線影響被動裝置的評估方法及被動裝置的校正工具
JP5576480B2 (ja) 整調可能な特性インピーダンスを有する垂直型共平面導波路、その設計構造、およびその作製方法
US8453095B2 (en) Systems and methods for creating frequency-dependent netlist
CN111797586A (zh) 半导体封装的设计方法和半导体封装设计系统
US8495532B2 (en) Systems and methods for creating frequency-dependent RC extraction netlist
Song et al. Through-silicon-via-based decoupling capacitor stacked chip in 3-D-ICs
US20140264741A1 (en) Capacitor using barrier layer metallurgy
US9104835B2 (en) Systems and methods for determining effective capacitance to facilitate a timing analysis
US8806415B1 (en) Integrated circuit pad modeling
Ahmed et al. Buffered interconnects in 3D IC layout design
Martin et al. A path finding based SI design methodology for 3D integration
US8745559B2 (en) Systems and methods for creating frequency-dependent netlist
Rahimian et al. Inter-plane communication methods for 3-D ICs
Nenadovic et al. Application of Integral Analysis Technique to Determine Signal-and Power Integrity of Advanced Packages
Wang et al. Analysis and modelling on CMOS spiral inductor with impact of metal dummy fills
Lee et al. 3DIC from concept to reality
KR20200117823A (ko) 반도체 패키지에 대한 디자인 방법 및 반도체 패키지 디자인 시스템