TWI479350B - 產生積體電路之佈局之方法、積體電路及執行該方法的非暫態電腦可讀儲存媒體 - Google Patents

產生積體電路之佈局之方法、積體電路及執行該方法的非暫態電腦可讀儲存媒體 Download PDF

Info

Publication number
TWI479350B
TWI479350B TW098136735A TW98136735A TWI479350B TW I479350 B TWI479350 B TW I479350B TW 098136735 A TW098136735 A TW 098136735A TW 98136735 A TW98136735 A TW 98136735A TW I479350 B TWI479350 B TW I479350B
Authority
TW
Taiwan
Prior art keywords
standard
components
component
boundary
integrated circuit
Prior art date
Application number
TW098136735A
Other languages
English (en)
Other versions
TW201019155A (en
Inventor
Marlin Wayne Frederick
Original Assignee
Advanced Risc Mach Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Risc Mach Ltd filed Critical Advanced Risc Mach Ltd
Publication of TW201019155A publication Critical patent/TW201019155A/zh
Application granted granted Critical
Publication of TWI479350B publication Critical patent/TWI479350B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

產生積體電路之佈局之方法、積體電路及執行該方法的非暫態電腦可讀儲存媒體
本發明係關於積體電路之領域,且尤相關於產生此等積體電路之佈局。
在半導體積體電路之設計中,已知提供使用計劃之積體電路之功能設計(例如,以閘位準網路連接表(gate level netlist)或設計之暫存器轉移語言較高階表示之形式)的自動化工具及提供一組標準元件(該等標準元件為用於根據功能設計來裝配積體電路之佈局的「構建組塊」)的元件庫以產生積體電路之佈局。
通常,該等標準元件藉由自動化工具排列成列,且(將該等列視為水平伸展)每一標準元件之左邊界及右邊界使得任一給定元件可緊鄰任何其他給定標準元件配置。因此,自動化工具具有將標準元件配置於何處以便滿足低選路費用之功能設計要求的自由選擇。
第1A圖示意性圖示已知標準元件10,其分別具有左邊界11及右邊界12。與此等邊界相關聯之邊界區域標經標記為「A」。可認為自標準元件10之主要部分定界邊界區域A之虛線係指定標準元件之構件不可延伸進入之區域,以確保在將另一標準元件鄰接此標準元件配置時,每一標準元件之構件之間不會發生非吾人所樂見之相互作用。實際上,標準元件內不同構件(例如,多晶矽、金屬等)將根據預定設計規則而具有距邊界不同之最小距離,然而,邊界區域A通常說明單一最小距離。當每一標準元件(無關於彼標準元件之特定排列)具有諸如第1A圖中所圖示之彼等的邊界時,則任何標準元件可經鄰接任何其他標準元件配置,如第1B圖中示意性圖示。
類似地,在先前技術中亦已知待組態以使得其僅可配置成以一定向之佈局的標準元件。第1C圖中示意性圖示此標準元件20。此標準元件20分別具有左邊界21及右邊界22,該等邊界特徵在於分別具有邊界區域A及B。在此狀況下,在將標準元件排列在一起時,必需將「A」邊界緊鄰「B」邊界配置,如第1D圖中示意性圖示。此處,第1C圖中所圖示之類型的標準元件24、25、26及27排列成列,從而使得任何兩個標準元件藉由「B」邊界區域與「A」邊界區域相鄰而相接。然而,「A」不可緊鄰「A」配置,且「B」不可緊鄰「B」配置,因此排列標準元件之工具不可能「翻轉」任何給定元件(例如,第1D圖中之左右反轉標準元件26),因為那樣將出現標準元件之「B-B」及「A-A」鄰接。
隨著半導體積體電路之幾何形狀變得愈加小,存在於愈加小之區中實施每一標準元件之功能性的增加之壓力。
將需要允許向愈加小之積體電路之此進展繼續,同時仍利用用於排列此等積體電路之佈局的此等自動化工具。
本發明之第一態樣提供一種產生積體電路之佈局的方法,該方法包含以下步驟:提供表示電路構件及該積體電路之該等電路構件之間之連接的功能資料;提供一界定複數個標準元件之元件庫,每一標準元件表示一用於形成該積體電路之潛在組件;提供指示該等標準元件之邊界之相容性的相容性資訊;及依據該功能資料及該相容性資訊而產生標準元件之一配置進而產生該佈局,從而使得無鄰接元件具有不相容之邊界。
由本發明可知,朝向愈加小之積體電路幾何形狀的進展可藉由提供一組標準元件來輔助,在該組標準元件中,並非所有標準元件可緊鄰任何其他標準元件配置。亦即,該等標準元件中之一些具有與其他標準元件之邊界不相容的邊界。藉由允許標準元件具有不相容之邊界,可放寬用於調節可將給定標準元件之構件定位於彼標準元件上何處的設計規則,從而使得更大密度之功能組件能夠適配至標準元件之給定區上。
歸因於某些標準元件之間所引入的不相容性,本發明之技術提供指示哪些標準元件邊界彼此相容之相容性資訊。因此,用於產生積體電路之佈局的自動化工具可將表示積體電路之所要組態的功能資料(例如,網路連接表或RTL)與界定標準元件之元件庫相組合,且利用該相容性資訊可根據功能資料產生標準元件之排列,從而使得無鄰接元件具有不相容之邊界。
特定標準元件之間的不相容邊界可具有眾多原因,但在一實施例中,該等不相容邊界係由各別標準元件之構件相對於其各別標準元件邊界之位置導致。歸因於指定可將標準元件之每一構件定位成與彼標準元件之邊界何等靠近的設計規則,違反此等規則中之一者可導致彼標準元件與鄰接標準元件之間的不相容之邊界。
在一實施例中,該積體電路係由基板上之層形成,且該等不相容邊界係由該等層中之至少一者與標準元件邊界之接近導致。此等層相對於標準元件邊界之位置繼而影響彼邊界相對於其他標準元件之相容性。因此,在根據標準設計規則時,給定層不應與標準元件邊界比一預定距離更靠近,允許此層更靠近標準元件邊界導致彼邊界與一些其他標準元件不相容。
熟習此項技術者應瞭解,此等層可採用多種形式,但在一實施例中,該等層中之該一者為多晶矽層、擴散層、接觸層、通路層、及金屬化層中之一者。此等各種層中之每一者將具有指定可將其定位成與標準元件邊界何等靠近之預定設計規則。
在一實施例中,該等層為共線層。隨著半導體幾何形狀變得愈加小,以所要解析度在基板上產生層需要將此等層形成為共線層。
應瞭解,可存在極大數目之標準元件,但在一實施例中,複數個標準元件包含至少三種類型之標準元件,該至少三種類型之標準元件具有彼此不同之邊界相容性。因而,將進一步瞭解,繼而可存在標準元件之間的極大數目之相容性規則,但在一實施例中,複數個標準元件包含具有與任何其他類型之標準元件相容之邊界的至少一種類型之標準元件。在一實施例中,該複數個標準元件包含具有僅與一種其他類型之標準元件邊界相容之標準元件邊界的至少一種類型之標準元件。
在另一實施例中,不相容邊界源自延伸超出標準元件邊界之摻質植入。在愈加小之半導體幾何形狀中,待經摻質植入所需之區變得比摻質植入技術之解析度小。因此,摻質植入通常在比根據設計指定用以摻質植入之區寬的區上延伸。然而,因為摻質植入經執行以為半導體之特定區提供特殊實體特性,而摻質植入可能對於一區域而言適當而對於另一區域而言可能並不適當,且因此,延伸超出標準元件邊界之摻質植入可能導致不相容邊界。
舉例而言,在一實施例中,不相容邊界源自具有延伸超出第一標準元件邊界之第一摻質植入的第一標準元件及具有延伸超出第二元件邊界之第二摻質植入的第二元件,該第一摻質植入與該第二植入具有不同之摻質特性。兩個摻質植入區之不同摻質特性可能導致第一標準元件與第二標準元件之間的不相容性。舉例而言,第一植入摻質植入可建立低電壓臨限值區,且第二摻質植入可建立高電壓臨限值區,不相容之兩個區將重疊。
在一實施例中,複數個標準元件包含至少一種類型之填充元件,該填充元件在積體電路中不具有相應之電路構件。當提供積體電路佈局時,具有可用於標準元件之庫中之填充元件係有用的,此等填充元件在最終積體電路中不具有功能等效物,但其促進標準元件於佈局中之配置,且提供有用之特性,諸如提供額外去耦電容。
此等填充元件可採用多種形式,諸如包含:無摻質區;摻質植入區;至少一個無摻質區及摻質植入區;及第一摻質植入區及第二摻質植入區,該第一摻質植入區與該第二摻質植入區具有不同之摻質特性。提供不同類型之填充元件使得配置標準元件以建立積體電路佈局之自動化工具能夠與鄰接標準元件之摻質植入相匹配,從而使得不會出現不相容之邊界。
在不相容邊界源自具有延伸超出第一標準元件邊界之第一摻質植入的第一標準元件及具有延伸超出第二元件邊界之第二摻質植入的第二元件之情形中,該第一摻質植入與該第二植入具有不同之摻質特性,存在可採用以將填充元件配置於該第一元件與第二元件之間的各種方法。
在一實施例中,該產生標準元件之配置的步驟包含將至少一個無摻質填充元件配置於該第一標準元件與該第二標準元件之間。該無摻質填充元件隨後可採用與其鄰接之元件的摻質特性。
在另一實施例中,該產生標準元件之配置的步驟包含將至少一個填充元件配置於該第一標準元件與該第二標準元件之間,該至少一個填充元件包含至少一個無摻質區及一摻質植入區。該填充元件之該摻質植入區隨後可經選擇以與在一側上與其鄰接之元件的摻質植入相匹配,且無摻質區可採用在另一側上與其鄰接之元件的摻質特性。
在又一實施例中,該產生標準元件之配置的步驟包含將至少一個填充元件配置於該第一標準元件與該第二標準元件之間,該至少一個填充元件包含至少一個第一摻質植入區及一個第二摻質植入區,該第一摻質植入區與該第二摻質植入區具有不同之摻質特性。填充元件之每一摻質植入區可因此經選擇以與鄰接填充元件之任一側的標準元件之摻質特性相匹配。
應瞭解,相容性資訊可採用多種形式,但在一實施例中,該相容性資訊包含關於該等標準元件之該等邊界的資訊及關於該等標準元件之配置規則的資訊。因此,該相容性資訊包含關於每一個別標準元件之邊界的資訊(其可為標準元件資料自身之部分)及關於可如何將彼等標準元件相對於彼此排列的資訊(例如,相容性規則資料)。
本發明之第二態樣提供一種積體電路,其具有根據本發明之第一態樣之方法產生的佈局。
本發明之第三態樣提供一種電腦可讀媒體,其儲存用於控制電腦以執行根據本發明之第一態樣之方法的電腦程式。
第2A圖、第2B圖、第2C圖及第2D圖示意性圖示根據本發明之一實例實施例的一組標準元件。此等標準元件100、105、110、115在分別由虛線120及125定界之其左方及右方具有邊界區域。此等邊界區域就其表示對於標準元件之構件接近彼標準元件之左邊界及右邊界而無干擾鄰接標準元件之風險的限制而言,如同參考第1A圖、第1B圖、第1C圖及第1D圖所描述。在第2A圖、第2B圖、第2C圖及第2D圖中,該實例採用呈各種排列之金屬層130、135、140及145。因此,在此所說明之實例中,虛線120及125表示對於金屬層接近標準元件之邊界的限制。在其他實施例中,所說明之層130、135、140及145可視為表示標準元件之其他層,諸如多晶矽層、擴散層、接觸層或通路層。繼而虛線120及125將表示對於相應層接近標準元件之邊界的限制。應注意,在第2A圖至第2D圖中將金屬層130、135、140及145圖示為自其最近邊界稍微偏移之事實並不重要。此僅為達成圖示清晰之目的。舉例而言,在第2A圖中,金屬層130並未完全觸及邊界區域定界線120及125,但在原則上可剛好觸及此等線。類似地,在第2B圖中,例如,金屬層135並未完全到達標準元件105之左邊界及右邊界。另一方面,此僅為達成圖示清晰之目的,且原則上金屬層135可剛好觸及標準元件105之左邊界及右邊界。
將認識到,儘管第2A圖中圖示之標準元件100經排列使得金屬層130並未穿入由虛線120及125界定之邊界區域中,但對於分別在第2B圖、第2C圖及第2D圖中圖示之標準元件105、110及115而言並非如此。此等標準元件105、110及115排列之結果為,標準元件105、110及115由於其已違反關於金屬層與標準元件邊界之接近性的設計規則而與所有其他標準元件不相容。應注意,一標準元件之金屬層與鄰接標準元件之金屬層之間的最小必要間隔可在該兩個標準元件之間共有。舉例而言,兩個標準元件(諸如,標準元件100)若經彼此鄰接配置,則將在由虛線120及125定界之邊界區域僅為彼最小必要間隔之寬度之一半的情況下滿足該最小必要間隔。然而,為簡化第2A圖至第2D圖中圖示且在下文論述之實例,該最小必要間隔由鄰接對中之一標準元件提供。
此等標準元件之排列在標準元件之間引入各別相容性,用於將此等標準元件排列成積體電路佈局之自動化工具必須明瞭該等各別相容性。在第2A圖、第2B圖、第2C圖及第2D圖之實例標準元件中,且視為基本規則,一對鄰接標準元件中僅一者可使其金屬層之部分延伸至其邊界區域中,標準元件100由於其金屬層130之排列並未延伸至任一邊界區域中而可鄰接標準元件100、110或115中之任一者配置。相反,標準元件105由於其金屬層135穿入其左邊界區域之下部及其右邊界區域之上部中而不可鄰接任一標準元件110或115配置,且鄰接標準元件110或標準元件115配置將導致直接相鄰之金屬層,從而違反金屬層之最小分離設計規則。然而,可見,標準元件105可鄰接其自身配置,因為如此每一標準元件之金屬層135將互鎖,一標準元件之金屬層的右上部分適配於另一標準元件之金屬層的左上間隙中(且反之亦然)。請注意,標準元件105之此相容性適用於如所說明之標準元件,但若標準元件經左右翻轉則不適用。因此,可見,邊界條件係特別針對標準元件之給定邊界,而非標準元件整體。
與標準元件105類似之邊界相容性規則適用於標準元件110(因為此等元件為彼此之上下鏡像),但可見,標準元件115不能鄰接標準元件105或110中之任一者配置,且標準元件100為標準元件115可鄰接之唯一標準元件。請注意,為清晰起見,第2A圖、第2B圖、第2C圖及第2D圖中所圖示之標準元件中的層130、135、140及145之排列呈現一對稱度,但無需為此狀況,例如,標準元件可具有諸如標準元件100之左半部的左半部及諸如標準元件105之右半部的右半部。
對於本發明之技術而言,重要之處在於實現允許該組標準元件不具有標準邊界條件(其中所有標準元件可鄰接所有其他標準元件配置)導致使每一標準元件具有較小寬度之可能性,且因此增加整個所得積體電路之功能密度。因此,不將諸如第2B圖中之標準元件105之標準元件視為具有已延伸至由虛線125界定之邊界區域中的金屬層135係重要的。實情為,對於金屬層135之給定排列而言,標準元件之左右尺寸已經減小進而使得金屬層135現在穿入由虛線125界定之邊界區域中。在將標準元件之寬度減小一配置間距之遞增位準下,據估計,在32nm架構中可達成5%至10%之面積減小。
在本發明之一實施例中,邊界相容性規則係與界定標準元件之標準元件庫相關聯地提供。因此,在使用自動化工具產生積體電路佈局之製程(亦已知為電子設計自動化(EDA))中,該工具將所要積體電路之功能界定(通常以網路連接表之形式)及標準元件庫(包括邊界相容性規則)作為其輸入,且產生其中無鄰接標準元件具有不相容邊界之標準元件排列。與標準元件庫相關聯地提供之邊界相容性規則包含關於彼標準元件庫中界定之標準元件的邊界資訊及關於彼等標準元件之配置規則的資訊,諸如哪些特定邊界類型可直接鄰接其他特定邊界類型配置。
第3A圖、第3B圖、第3C圖、第3D圖及第3E圖示意性圖示根據本發明之一實例實施例的一組標準元件。標準元件200、205、210、215為與第2A圖、第2B圖、第2C圖及第2D圖中所圖示之標準元件類似的一組標準元件(下文將更詳細論述標準元件217)。然而,第3A圖、第3B圖、第3C圖、第3D圖及第3E圖中之標準元件經提供用於產生具有幾何形狀顯著小於第2A圖、第2B圖、第2C圖及第2D圖之標準元件經提供用於之積體電路的積體電路。由於此等標準元件對應於較小積體電路幾何形狀,故該等標準元件之層共線,此係因為該等層之尺寸使得當前分層技術不能容易地產生二維形狀(意義在於「L」形為二維,而將條帶視為一維)。
與第2A圖、第2B圖、第2C圖及第2D圖中所圖示之該組標準元件類似,第3A圖、第3B圖、第3C圖、第3D圖及第3E圖中之標準元件在其左方及右方具有分別由虛線220及225定界之邊界區域。又,與第2A圖、第2B圖、第2C圖及第2D圖中之標準元件類似,在此組標準元件中:標準元件200之所圖示之層(例如,金屬層)之條帶並不穿過界定標準元件之邊界區域的線220、225;標準元件205及210之條帶中的一些穿過界定標準元件之邊界區域的線220、225;標準元件215之所有條帶穿過界定標準元件之邊界區域的線220、225;且可見標準元件217之條帶徹底在界定標準元件之邊界區域的線220、225之內。
請注意,在第3A圖、第3B圖、第3C圖、第3D圖及第3E圖之實例標準元件中,條帶230中之一些不僅穿過界定標準元件之邊界區域的線220、225,其甚至穿入外形上界定為標準元件外部之區域中。向標準元件之外形邊界外部的此延伸可視為由設計選擇或由條帶之確切尺寸之自然變化(由於其相對變化隨幾何形狀減小而增加)導致。總之,根據本發明之技術,可允許此情形發生(已知,標準元件可鄰接配置,如此可容納此侵入)。亦請注意,層穿過標準元件之邊界的此特徵並不限於第3A圖至第3E圖中所圖示之共線實例,且亦可在第2A圖至第2D圖中之實例中發生。
與第2A圖、第2B圖、第2C圖及第2D圖中所圖示之該組標準元件類似,在第3A圖、第3B圖、第3C圖、第3D圖及第3E圖中所圖示之該組標準元件中:標準元件217可鄰接任何其他標準元件配置。標準元件200可鄰接其自身及標準元件217配置。標準元件205及210可鄰接其自身(若未翻轉)配置,但並不彼此鄰接且不鄰接標準元件200或215。標準元件215僅可鄰接標準元件217配置(請注意,諸如215之標準元件不能鄰接諸如200之標準元件,因為標準元件215之條帶延伸超出標準元件邊界意謂標準元件200之條帶將需要為更短(甚至不到達邊界區域界定線220、225)以便在一標準元件與相鄰標準元件之條帶之間提供足夠分離。此問題對於標準元件217而言不會發生,因為標準元件217之條帶自邊界線220、225充分向後)。
第4A圖及第4B圖中圖示標準元件之可導致不相容邊界之另一特徵。積體電路之由標準元件表示之區可經摻質以調整其物理特性中之至少一者(例如,其閘極切換電壓臨限值)。摻質之不同位準導致不同物理特性(例如,低電壓臨限值(LVT)、規則電壓臨限值(RVT)及高電壓臨限值(HVT))。
第4A圖示意性圖示具有擴散層310之實例標準元件300。為了藉由正確物理環境提供擴散層,將標準元件300之基板進行摻質,如由影線320所圖示。請注意,為清晰起見,影線自標準元件稍微偏移,但實際上,將跨越整個標準元件區實施摻質。
第4B圖中圖示根據本發明之技術的標準元件330。隨著積體電路幾何形狀減小,已發現解析度(可以該解析度施加摻質植入)並不隨配置間距(一配置間距當前通常為「多晶矽間距(poly pitch)」)之大小的減小而成比例變化。因此,相對於由施加至基板之層界定的特徵而言,摻質植入之施加變得較為粗糙。
第4B圖示意性圖示與本發明之技術的特定相關性之實例,其中擴散層340經定位於靠近其標準元件之邊緣。請注意,擴散層340之接近仍可遵守標準元件之邊界區域,或可與第2B圖、第2C圖及第2D圖以及第3B圖、第3C圖及第3D圖之先前論述之實例相類似至少部分地穿入邊界區域中。總之,在所說明之標準元件之比例,擴散層340足夠靠近標準元件330之邊緣,進而所施加之摻質植入展布超出標準元件之外形邊界。
在先前技術中,將標準元件配置成一排列以產生積體電路佈局的自動化工具與施加至每一標準元件之特定摻質植入無關。亦即,標準元件之特定摻質植入在決定將彼標準元件配置於何處方面並非為一因素。然而,隨著幾何形狀縮小,如第4B圖中所圖示,標準元件之摻質植入影響標準元件之配置。此係因為,例如,若標準元件330經摻質為LVT,則其將不可鄰接經摻質為HVT之標準元件配置,因為該兩個標準元件之植入將不適當地彼此相互作用。
第5A圖、第5B圖及第5C圖以及第6A圖、第6B圖及第6C圖示意性圖示本發明之技術應用於摻質植入展布超出標準元件之此問題。如同表示積體電路之功能組件的標準元件一樣,已知當將標準元件排列成積體電路佈局時使用填充元件。此等填充元件(特定種類之標準元件)在積體電路中並不具有功能等效物,但其輔助具有功能等效物之標準元件的排列且可能以通常有益之方式提供增加去耦電容之作用。
在第5A圖中,標準元件A及B各自具有不同摻質植入,標準元件A具有導致LVT特性之摻質植入且標準元件B具有導致HVT特性之摻質植入。兩個標準元件A及B皆為第4B圖中示意性圖示之標準元件種類之實例,其中尺寸使得摻質植入已延伸超出標準元件邊界。由於一標準元件為LVT且另一標準元件為HVT,故此等兩個標準元件不可彼此鄰接配置,因為此將違反摻質植入規則(該規則要求不同摻質植入區不重疊)。因此,在此等兩個標準元件之間配置填充元件400。對於如何可將填充元件配置於標準元件之間的間隙中而言存在各種可能性,如下文中參考第6A圖、第6B圖及第6C圖所論述。
在此描述用於此等填充元件之三個基本方法。此等方法可分類為兩組。第一組包含填充元件自身可能具有摻質植入但彼等摻質植入並不延伸超出填充元件之邊界的情形。第二組包含填充元件自身可能具有延伸超出填充元件自身之摻質植入的情形。
第一組由第6A圖中之填充元件圖示,其經標記為具有按類標記為A、B及C之3種不同摻質植入類型。此處,必需提供單一配置寬度填充元件,其具有一半具有一種摻質植入類型且另一半具有另一種摻質植入類型之所有置換(permutation)。此等置換包括使兩半具有相同摻質植入類型。此等置換無需包括鏡像,只要允許標準元件配置工具翻轉標準元件即可。若提供寬度大於單一配置間距(例如,2倍寬、4倍寬、8倍寬等)之填充元件,則應在每一植入類型中提供此等寬度中之每一者(請注意,此並非嚴格必需,因為任何間隙在原則上可由多個單一寬度填充元件填充)。
第二組由第6B圖及第6C圖中之填充元件圖示。此處,該等填充元件具有延伸超出填充元件自身之邊界(以上文參考第4B圖描述之方式)的摻質植入。第6B圖及第6C圖亦展示按類標記為A、B及C之三種不同摻質植入類型。在此第二組情形中,存在兩種方法。
在第6B圖中所展示之第一方法中,存在經提供用於每一摻質植入類型之一單一配置間距寬度填充元件,其中僅一半寬度具有彼摻質植入而另一半寬度為空。亦存在經提供用於每一摻質植入類型的全部經填充之單一寬度填充元件。與第6A圖類似,亦可視情況在每一植入類型中提供較寬填充元件。
在第6C圖中所展示之第二方法中,存在一提供於每一摻質植入類型中的全部經填充之單一寬度填充元件及一單一寬度無摻質填充元件(標記為0)。
請注意,在第6A圖、第6B圖及第6C圖中所圖示之三個方法中,為簡化起見僅展示三種摻質植入類型(按類標記為A、B、C)。該三種方法可同等地應用於具有更多摻質植入類型之實施例中,但應瞭解,隨著摻質植入類型之數目增長,將需要更大數目的填充元件類型(請注意,在第6A圖中展示之方法中歸因於組合置換而尤其如此)。現將參考第5B圖及第5C圖之實例情形論述填充元件實施之此等三個不同方法。
第5B圖示意性圖示其中需要一配置間距寬度之填充元件的排列。在第5B圖中,可見填充元件具有兩個半部410及420。
根據第6A圖方法,填充元件經選擇以填充此間隙,該填充使其左半部410經LVT摻質且使其右半部420經HVT摻質。LVT摻質植入自標準元件A之展布因此僅展布至已為LVT之區中,且HVT摻質植入自標準元件B之展布因此僅展布至已為HVT之區中。因此,摻質植入規則得以遵守。
根據第6B圖方法,填充元件經選擇以填充此間隙,該填充使一半經摻質且使另一半無摻質。舉例而言,填充元件經選擇使其左半部410經LVT摻質且具有一無摻質之右半部420。LVT摻質植入自標準元件A之展布因此僅展布至已為LVT之區中,且HVT摻質植入自標準元件B之展布展出一半配置間距以將右半部420摻質為HVT。因此,摻質植入規則得以遵守。另一種可能之解決方案將為選擇具有以HVT摻質之左半部之填充元件、使該元件自左向右翻轉並配置其。
根據第6C圖方法,填充元件經選擇以填充無摻質之此間隙。LVT摻質植入自標準元件A之展布因此僅展布一半配置間距以將左半部410摻質為LVT,且HVT摻質植入自標準元件B之展布展出一半配置間距以將右半部420摻質為HVT。因此,摻質植入規則得以遵守。
當需要填充標準元件之間的較寬間隙時,出現更多填充元件選擇可能性。第5C圖示意性圖示標準元件A及B相隔兩個配置間距配置且兩個單一寬度填充元件435及440在間隙中經配置於一起的實例。
根據第6A圖方法,一種可能性(如第5C圖中所圖示)為選擇具有經LVT摻質之左半部及經HVT摻質之右半部的填充元件435。填充元件440隨後經選擇為全部(亦即,兩半部)經HVT摻質。另一種可能性(未圖示)為可藉由左側填充元件(其為全部經LVT摻質之填充元件)及具有經LVT摻質之左半部及經HVT摻質之右半部的右側填充元件來填充兩個配置間距間隙。
根據第6B圖方法,一種可能性(如第5C圖中所圖示)為選擇具有經LVT摻質之左半部及無摻質之右半部的填充元件435。填充元件440隨後經選擇為全部(亦即,兩半部)經HVT摻質。摻質植入於填充元件440中之展布隨後將填充元件435之右側半部摻質為HVT。另一種可能性(未圖示)為可藉由左側填充元件(其為全部經LVT摻質之填充元件)及具有無摻質之左半部及經HVT摻質之右半部的右側填充元件來填充兩個配置間距間隙。摻質植入於填充元件435中之展布隨後將填充元件440之左側半部摻質為HVT。
根據第6C圖方法,填充元件435經選擇為無摻質之填充元件。填充元件440隨後經選擇為全部(亦即,兩半部)經HVT摻質。摻質植入於填充元件440中之展布隨後將填充元件435之右側半部摻質為HVT,且摻質植入於標準元件A中之展布隨後將填充元件435之左側半部摻質為LVT。另一種可能性(未圖示)為左側填充元件全部經LVT摻質且右側填充元件無摻質。摻質自左側填充元件及自標準元件B之展布隨後摻質右側填充元件。
需要填充之標準元件之間的間隔愈大,其中存在的填充元件之置換愈多。可準許變化,只要其滿足所要求之植入規則即可。一實例植入規則為在此實例中不準許具有任何無摻質之區。
第7圖為示意性圖示本發明之一實施例中所執行之一系列步驟的流程圖。首先,在步驟600,輸入表示電路構件及計劃之積體電路之電路構件之間之連接的功能資料,通常以網路連接表之格式。接著,在步驟610,輸入元件庫,該元件庫界定標準元件。與每一標準元件相關聯的為指示彼標準元件與其他標準元件之邊界之相容性的相容性資訊。該相容性資訊包含關於標準元件之邊界之性質的資訊及關於彼等標準元件相對於彼此之配置規則的資訊。隨後在步驟620,依據該功能資料(網路連接表)及與標準元件相關聯之該邊界相容性資訊而產生標準元件之一配置進而產生佈局,從而使得無鄰接元件具有不相容之邊界。最後在步驟630,輸出所產生之積體電路佈局。輸出此所產生之積體電路佈局可採用多種形式,但其通常將記錄於電腦可讀媒體上。
第8圖表示根據本發明之技術產生之積體電路700。標準元件之排列經一般標示為710,此等標準元件已經排列以使得無鄰接標準元件具有不相容之邊界。為清晰起見,已圖示一列經排列之標準元件中僅一部分,但是將理解,標準元件係跨越整個計劃之積體電路配置。
因此,本發明之技術提供一種藉由排列標準元件來產生記憶體電路佈局之方法。每一標準元件之特定配置由其邏輯功能且由關於其邊界之相容性資訊確定,鄰接標準元件經配置使得其邊界相容。藉由引入並非所有皆具有彼此相容之邊界的標準元件,可達成較小積體電路幾何形狀。
第9圖示意性圖示可用以實施上述技術且詳言之用以產生積體電路之佈局之類型的通用電腦900。通用電腦900包括中央處理單元902、隨機存取記憶體904、唯讀記憶體906、網路介面卡908、硬碟驅動器910、顯示驅動器912及監視器914以及具有鍵盤918及滑鼠920之使用者輸入/輸出電路916,其所有皆經由共用匯流排922連接。在操作中,中央處理單元902將執行可儲存於隨機存取記憶體904、唯讀記憶體906及硬碟驅動器910中之一或多者中或經由網路介面卡908動態下載之電腦程式指令。所執行之處理結果可經由顯示驅動器912及監視器914顯示給使用者。用於控制通用電腦900之操作的使用者輸入可經由使用者輸入輸出電路916自鍵盤918或滑鼠920接收。應瞭解,電腦程式可用各種不同電腦語言撰寫。電腦程式可儲存並分佈於一記錄媒體上且動態下載至通用電腦900。當在適當電腦程式之控制下操作時,通用電腦900可執行上述技術,且可視為形成用於執行上述技術之裝置。通用電腦900之架構可相當大地變化,且第9圖僅為一實例。
儘管已在本文中描述本發明之特定實施例,但將顯而易見的是,本發明並不限於此,且可在本發明之範疇內進行許多修改及添加。舉例而言,在不脫離本發明之範疇之情況下,可將下文附屬申請專利範圍之特徵與獨立申請專利範圍之特徵進行各種組合。
10...已知標準元件
11...左邊界
12...右邊界
20...標準元件
21...左邊界
22...右邊界
24...標準元件
25...標準元件
26...標準元件
27...標準元件
100...標準元件
105...標準元件
110...標準元件
115...標準元件
120...虛線/邊界區域定界線
125...虛線/邊界區域定界線
130...金屬層
135...金屬層
140...金屬層
145...金屬層
200...標準元件
205...標準元件
210...標準元件
215...標準元件
217...標準元件
220...虛線/邊界區域界定線/邊界線
225...虛線/邊界區域界定線/邊界線
230...條帶
300...標準元件
310...擴散層
320...影線
330...標準元件
340...擴散層
400...填充元件
410...左半部
420...右半部
435...單一寬度填充元件
440...單一寬度填充元件
600...步驟
610...步驟
620...步驟
630...步驟
700...積體電路
710...標準元件之排列
900...通用電腦
902...中央處理單元
904...隨機存取記憶體
906...唯讀記憶體
908...網路介面卡
910...硬碟驅動器
912...顯示驅動器
914...監視器
916...使用者輸入/輸出電路/使用者輸入輸出電路
918...鍵盤
920...滑鼠
922...共用匯流排
將參考如在附圖中圖示之本發明之實施例來僅作為實例而進一步描述本發明,其中:
第1A圖、第1B圖、第1C圖及第1D圖示意性圖示已知標準元件;
第2A圖、第2B圖、第2C圖及第2D圖示意性圖示根據本發明之一實施例的標準元件;
第3A圖、第3B圖、第3C圖、第3D圖及第3E圖示意性圖示根據本發明之一實施例的共線標準元件;
第4A圖及第4B圖示意性圖示已知標準元件及根據本發明之一實施例之標準元件的摻質植入;
第5A圖、第5B圖及第5C圖示意性圖示根據本發明之一實施例之標準元件之間的填充元件;
第6A圖、第6B圖及第6C圖示意性圖示根據本發明之實施例的不同填充元件類型;
第7圖示意性圖示根據本發明之一實施例所採用的一系列步驟;
第8圖示意性圖示根據本發明之技術產生的積體電路;及
第9圖示意性圖示可用以實施本發明之技術之類型的通用電腦。
600...步驟
610...步驟
620...步驟
630...步驟

Claims (18)

  1. 一種使用一電腦產生一積體電路之一佈局之方法,該積體電路包括複數個電路構件及電路構件之間的連接,該等電路構件包括具鄰接關係的各種標準元件,該等各種標準元件之各者皆具有邊界,該方法包含以下步驟:提供功能資料給該電腦,該功能資料表示該積體電路之該等電路構件及該等電路構件之間之該等連接;提供一元件庫給該電腦,該元件庫界定該等複數個標準元件,每一標準元件表示一潛在組件,該潛在組件用於形成該積體電路;提供相容性資訊給該電腦,該相容性資訊指示該等各種標準元件之各者之邊界之相容性;及依據該功能資料及該相容性資訊,在該電腦上產生標準元件之一配置以便產生該佈局,從而使得不會有具有不相容之邊界的鄰接元件,其中該等不相容邊界係源自個別標準元件之構件相對於其個別標準元件邊界之位置,其中該等不相容邊界源自延伸超出一標準元件邊界之摻質植入,其中該等不相容邊界源自一第一標準元件與一第二標準元件,該第一標準元件具有延伸超出一第一標準元件邊界的一第一摻質植入,該第二標準元件具有延伸超出一第二標準元件邊界的一第二摻質植入,且該第一摻質植入與該第二摻質植入具有不同之摻質特性。
  2. 如申請專利範圍第1項所述之方法,其中該積體電路係由一基板上之層形成,且該等不相容邊界係因為該 等層中之至少一者接近一標準元件邊界。
  3. 如申請專利範圍第2項所述之方法,其中該等層中之該者為一多晶矽層、一擴散層、一接觸層、一通路層及一金屬化層中之一者。
  4. 如申請專利範圍第2項所述之方法,其中該等層為共線層。
  5. 如申請專利範圍第1項所述之方法,其中該等複數個標準元件包含至少三種類型之標準元件,該至少三種類型之標準元件具有彼此不同之邊界相容性。
  6. 如申請專利範圍第1項所述之方法,其中該等複數個標準元件包含至少一類型之標準元件,該至少一類型之標準元件具有與所有其他類型之標準元件相容之邊界。
  7. 如申請專利範圍第1項所述之方法,其中該等複數個標準元件包含至少一類型之標準元件,該至少一類型之標準元件具有一標準元件邊界,該標準元件邊界僅相容於一其他類型之標準元件邊界。
  8. 如申請專利範圍第1項所述之方法,其中該等複數個標準元件包含至少一類型之填充元件。
  9. 如申請專利範圍第8項所述之方法,其中該至少一類型之填充元件包含一無摻質區。
  10. 如申請專利範圍第8項所述之方法,其中該至少一類型之填充元件包含一摻質植入區。
  11. 如申請專利範圍第8項所述之方法,其中該至少一類型之填充元件包含至少一無摻質區及一摻質植入區。
  12. 如申請專利範圍第1項所述之方法,其中該產生標準元件之一配置的步驟包含將至少一無摻質填充元件配置於該第一標準元件與該第二標準元件之間。
  13. 如申請專利範圍第1項所述之方法,其中上述產生標準元件之一配置的步驟包含:將至少一填充元件配置於該第一標準元件與該第二標準元件之間,該至少一填充元件包含至少一無摻質區及一摻質植入區。
  14. 如申請專利範圍第1項所述之方法,其中該產生標準元件之一配置的步驟包含:將至少一填充元件配置於該第一標準元件與該第二標準元件之間,該至少一填充元件包含至少一第一摻質植入區及一第二摻質植入區,該第一摻質植入區與該第二摻質植入區具有不同之摻質特性。
  15. 如申請專利範圍第1項所述之方法,其中該相容性資訊包含關於該等標準元件之該等邊界的資訊及關於該等標準元件之配置規則的資訊。
  16. 一種積體電路,該積體電路包括複數個電路構件及該等複數個電路構件之間的連接,該等複數個電路構件包括具鄰接關係的複數個標準元件,該等複數個標準元件之各者皆具有邊界,其中該積體電路具有由以下步驟所產生之一佈局:提供功能資料,該功能資料表示該積體電路之該等複數個電路構件及該等複數個電路構件之間的該等連接;提供一元件庫,該元件庫界定該等複數個標準元件,每一標準元件表示一潛在組件,該潛在組件用於形成該積體電路;提供相容性資訊,該相容性資訊指示該等複數個 標準元件之各者之邊界之相容性;及依據該功能資料及該相容性資訊,產生該等複數個標準元件之一配置以產生該佈局,從而使得不會出現具有不相容之邊界的鄰接標準元件,其中該等不相容邊界係源自個別標準元件之構件相對於其個別標準元件邊界之位置。
  17. 一種非暫態電腦可讀儲存媒體,其儲存一電腦程式,以用於控制一電腦以執行如申請專利範圍第1項所述之方法。
  18. 一種使用一電腦產生一積體電路之一佈局之方法,該積體電路包括複數個電路構件及電路構件之間的連接,該等電路構件包括具鄰接關係的各種標準元件,該等各種標準元件之各者皆具有邊界,該方法包含以下步驟:提供功能資料給該電腦,該功能資料表示該積體電路之該等電路構件及該等電路構件之間之該等連接;提供一元件庫給該電腦,該元件庫界定該等複數個標準元件,每一標準元件表示一潛在組件,該潛在組件用於形成該積體電路;提供相容性資訊給該電腦,該相容性資訊指示該等各種標準元件之各者之邊界之相容性;及依據該功能資料及該相容性資訊,在該電腦上產生標準元件之一配置以便產生該佈局,從而使得不會有具有不相容之邊界的鄰接元件,其中該等不相容邊界係源自個別標準元件之構件相對於其個別標準元件邊界之位置,其中該等複數個標準元件包含至少一類型之填充元件,其中該 至少一類型之填充元件包含一第一摻質植入區及一第二摻質植入區,該第一摻質植入區與該第二摻質植入區具有不同之摻質特性。
TW098136735A 2008-11-03 2009-10-29 產生積體電路之佈局之方法、積體電路及執行該方法的非暫態電腦可讀儲存媒體 TWI479350B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/289,771 US8136072B2 (en) 2008-11-03 2008-11-03 Standard cell placement

Publications (2)

Publication Number Publication Date
TW201019155A TW201019155A (en) 2010-05-16
TWI479350B true TWI479350B (zh) 2015-04-01

Family

ID=42133036

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098136735A TWI479350B (zh) 2008-11-03 2009-10-29 產生積體電路之佈局之方法、積體電路及執行該方法的非暫態電腦可讀儲存媒體

Country Status (2)

Country Link
US (1) US8136072B2 (zh)
TW (1) TWI479350B (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5991963A (en) * 1997-02-11 1999-11-30 Micro Care Corporation Supply roll member, sheet material dispenser apparatus, and stencil wiping assembly including the same
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
MY167970A (en) 2008-07-16 2018-10-09 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8732651B1 (en) * 2009-04-13 2014-05-20 Cadence Design Systems, Inc. Logical design flow with structural compatability verification
US8661392B2 (en) * 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8584052B2 (en) 2010-12-22 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cell layout for multiple patterning technology
US8756550B2 (en) * 2011-09-19 2014-06-17 Texas Instruments Incorporated Method to ensure double patterning technology compliance in standard cells
US8539396B2 (en) * 2011-12-30 2013-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Stitch and trim methods for double patterning compliant standard cell design
US8677291B1 (en) * 2012-10-08 2014-03-18 Globalfoundries Inc. Double patterning compatible colorless M1 route
US8645893B1 (en) * 2012-10-23 2014-02-04 Arm Limited Method of generating a layout of an integrated circuit comprising both standard cells and at least one memory instance
CN103853874B (zh) * 2012-12-06 2017-08-08 台湾积体电路制造股份有限公司 具有不同阈值电压的单元布局方法、实现系统和形成布局
US8826212B2 (en) * 2012-12-06 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a layout including cells having different threshold voltages, a system of implementing and a layout formed
US20140167815A1 (en) * 2012-12-18 2014-06-19 Broadcom Corporation Area reconfigurable cells of a standard cell library
US8788998B2 (en) * 2012-12-21 2014-07-22 Broadcom Corporation Non-integer height standard cell library
US9177803B2 (en) 2013-03-14 2015-11-03 Globalfoundries Inc. HK/MG process flows for P-type semiconductor devices
US8789000B1 (en) * 2013-04-16 2014-07-22 Globalfoundries Inc. Variable power rail design
US8959472B1 (en) * 2013-09-27 2015-02-17 Arm Limited Considering compatibility of adjacent boundary regions for standard cells placement and routing
KR102321605B1 (ko) 2015-04-09 2021-11-08 삼성전자주식회사 반도체 장치의 레이아웃 설계 방법 및 그를 이용한 반도체 장치의 제조 방법
US9698056B2 (en) * 2015-04-09 2017-07-04 Samsung Electronics., Ltd. Method for designing layout of semiconductor device and method for manufacturing semiconductor device using the same
US10114918B2 (en) 2016-01-27 2018-10-30 Arm Limited Physical placement control for an integrated circuit based on state bounds file
US9940424B2 (en) * 2016-05-25 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for minimum-implant-area aware detailed placement
US9977854B2 (en) * 2016-07-12 2018-05-22 Ati Technologies Ulc Integrated circuit implementing standard cells with metal layer segments extending out of cell boundary
US10579771B2 (en) 2017-06-14 2020-03-03 Samsung Electronics Co., Ltd. Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit
KR102285790B1 (ko) 2017-07-04 2021-08-04 삼성전자 주식회사 필러 셀을 포함하는 집적 회로
US10741539B2 (en) 2017-08-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Standard cells and variations thereof within a standard cell library
DE102017127276A1 (de) 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
US10559558B2 (en) 2017-09-29 2020-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Pin modification for standard cells
KR102439861B1 (ko) * 2018-02-14 2022-09-02 삼성전자주식회사 반도체 칩을 제조하기 위한 전자 장치 및 방법
CN110660792B (zh) * 2019-09-30 2022-03-18 上海华力微电子有限公司 Fdsoi标准单元的填充图形的生成方法以及版图布局方法
WO2023045476A1 (en) * 2021-09-24 2023-03-30 International Business Machines Corporation Ultra-short-height standard cell architecture

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW451433B (en) * 1999-06-04 2001-08-21 Ibm Method for providing dual workfunction doping and protective insulating cap
US7069532B2 (en) * 2001-02-07 2006-06-27 Hewlett-Packard Development Company, L.P. Access cell design and a method for enabling automatic insertion of access cells into an integrated circuit design
TW200701426A (en) * 2005-06-17 2007-01-01 Taiwan Semiconductor Mfg Co Ltd Layout structure for esd protection circuits

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7873929B2 (en) * 2006-08-14 2011-01-18 The Regents Of The University Of California Method, apparatus and system for designing an integrated circuit including generating at least one auxiliary pattern for cell-based optical proximity correction

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW451433B (en) * 1999-06-04 2001-08-21 Ibm Method for providing dual workfunction doping and protective insulating cap
US7069532B2 (en) * 2001-02-07 2006-06-27 Hewlett-Packard Development Company, L.P. Access cell design and a method for enabling automatic insertion of access cells into an integrated circuit design
TW200701426A (en) * 2005-06-17 2007-01-01 Taiwan Semiconductor Mfg Co Ltd Layout structure for esd protection circuits

Also Published As

Publication number Publication date
US8136072B2 (en) 2012-03-13
US20100115484A1 (en) 2010-05-06
TW201019155A (en) 2010-05-16

Similar Documents

Publication Publication Date Title
TWI479350B (zh) 產生積體電路之佈局之方法、積體電路及執行該方法的非暫態電腦可讀儲存媒體
US10796053B2 (en) Computer implemented system and method for generating a layout of a cell defining a circuit component
US10503859B2 (en) Integrated circuit design and/or fabrication
KR102407458B1 (ko) 집적회로 레이아웃을 생성하는 방법
US8661392B2 (en) Methods for cell boundary encroachment and layouts implementing the Same
US6006024A (en) Method of routing an integrated circuit
US9405879B2 (en) Cell boundary layout
US11288432B2 (en) Computer implemented system and method for generating a layout of a cell defining a circuit component
US8667444B2 (en) Concurrent placement and routing using hierarchical constraints
CN101681878A (zh) 用于布图布线系统中设计优化的填充单元
CN104051271A (zh) 在FinFET中扩展伪单元插入的工艺
TWI719090B (zh) 用於修改界定電路組件之標準單元布局之電腦實施系統及方法
TW202113654A (zh) 自動電路生成
US20170147727A1 (en) Temperature-aware integrated circuit design methods and systems
CN108987396A (zh) 半导体器件
KR20180070320A (ko) 쿼드러플 패터닝 리소그래피를 위한 집적 회로, 상기 집적 회로의 설계를 위한 컴퓨팅 시스템 및 컴퓨터 구현 방법
CN108231602A (zh) 具有填充单元的半导体装置的布局方法
US8930871B2 (en) Methodology on developing metal fill as library device
JP4220932B2 (ja) 半導体集積回路の設計支援方法
CN103218468A (zh) 用于生成最优半导体部件布局的方法和系统
KR20180070321A (ko) 트리플 패터닝 리소그래피를 위한 집적 회로, 상기 집적 회로의 설계를 위한 컴퓨팅 시스템 및 컴퓨터 구현 방법
DE102022132158A1 (de) Verfahren, system und computerprogrammprodukt zum entwerfen von integrierten schaltkreisen
US10885260B1 (en) Fin-based fill cell optimization
US9405873B2 (en) Method for improved accuracy of a substrate parasitic-resistance extraction in a circuit simulation
JP2006202923A (ja) 半導体装置の設計方法、半導体装置の設計プログラム