TWI476838B - Semiconductor device haivng a metal gate and method of forming the same - Google Patents

Semiconductor device haivng a metal gate and method of forming the same Download PDF

Info

Publication number
TWI476838B
TWI476838B TW098140827A TW98140827A TWI476838B TW I476838 B TWI476838 B TW I476838B TW 098140827 A TW098140827 A TW 098140827A TW 98140827 A TW98140827 A TW 98140827A TW I476838 B TWI476838 B TW I476838B
Authority
TW
Taiwan
Prior art keywords
layer
gate
sidewall
work function
metal
Prior art date
Application number
TW098140827A
Other languages
Chinese (zh)
Other versions
TW201118951A (en
Inventor
yi wei Chen
Nien Ting Ho
Chien Chung Huang
Chin Fu Lin
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to TW098140827A priority Critical patent/TWI476838B/en
Publication of TW201118951A publication Critical patent/TW201118951A/en
Application granted granted Critical
Publication of TWI476838B publication Critical patent/TWI476838B/en

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

具金屬閘極之半導體結構與形成方法Semiconductor structure with metal gate and formation method

本發明係關於一種具金屬閘極之半導體結構與形成方法,尤指一種具擴大開口之半導體結構以及其形成方法。The present invention relates to a semiconductor structure and a method for forming a metal gate, and more particularly to a semiconductor structure having an enlarged opening and a method of forming the same.

隨著半導體製程之線寬不斷縮小,半導體元件之尺寸不斷地朝微型化發展。在半導體產業中,由於多晶矽材料具有抗熱性質,因此通常會使用多晶矽材料來製作半導體元件的導體結構,特別是例如在金屬氧化物半導體電晶體之閘極電極製作上。另外,又由於在適當的佈植能量下,以多晶矽作為閘極電極能夠阻擋離子佈植製程的摻質進入通道區域,並且在高溫環境下,多晶矽閘極又能夠承受高溫退火處理,以使佈植的摻質可形成自行對準的源極區域與汲極區域。相對地,多晶矽閘極尚存在許多至今無法克服的缺點。首先,如以多晶矽材料與大多數金屬材料相比較,多晶矽材料乃高電阻值的半導體材料,以致於具高阻值的多晶矽閘極電極之操作速率相對於金屬導線仍低。為了彌補高電阻與其相應之較低操作速率,以多晶矽材料作為閘極電極通常需要額外採用大量的矽化金屬處理步驟,進而使其操作速率可提升至預期目標。因此,本發明形成具金屬閘極之半導體結構乃成為解決上述問題之重要作法。As the line width of semiconductor processes continues to shrink, the size of semiconductor components continues to evolve toward miniaturization. In the semiconductor industry, since polycrystalline germanium materials have heat resistance properties, polycrystalline germanium materials are commonly used to fabricate conductor structures for semiconductor devices, particularly, for example, in the fabrication of gate electrodes for metal oxide semiconductor transistors. In addition, due to the proper implantation energy, the polysilicon as the gate electrode can block the dopant of the ion implantation process from entering the channel region, and in the high temperature environment, the polysilicon gate can withstand the high temperature annealing treatment to make the cloth. The implanted dopants form self-aligned source and drain regions. In contrast, polycrystalline germanium gates still have many shortcomings that have not been overcome so far. First, if a polycrystalline germanium material is compared to most metallic materials, the polycrystalline germanium material is a high resistance semiconductor material such that the operating rate of the high resistance polysilicon gate electrode is still low relative to the metal wiring. In order to compensate for the high resistance and its corresponding lower operating rate, the use of a polysilicon material as a gate electrode typically requires an additional large amount of deuterated metal processing steps, thereby increasing its operating rate to the desired target. Therefore, the formation of a semiconductor structure having a metal gate in the present invention is an important solution to the above problems.

然而,由於目前半導體製程之線寬微小化至一定程度後,具金屬閘極之半導體結構的整合製程亦浮現出更多挑戰與瓶頸。請參照第1圖至第2圖,第1圖至第2圖為習知具金屬閘極之半導體結構之形成方法示意圖。如第1圖所示,首先,提供一半導體基板10。接著形成一閘極結構12於半導體基板10上,其中閘極結構12包含虛置圖案化多晶矽層12a以及圖案化閘極介電層12b。接續形成一輕摻雜源極汲極13於半導體基板10上。然後於閘極結構12外圍形成偏位側壁子14與側壁子16。隨後形成一源極區域18a與一汲極區域18b。最後形成一層間介電質層17,並利用化學機械研磨(CMP)製程去除虛置圖案化多晶矽層12a上方之部份層間介電質層17,使得曝露出來的虛置圖案化多晶矽層12a如同設置於偏位側壁子14以及圖案化閘極介電層12b所定義出的溝槽19中。However, due to the miniaturization of the line width of the semiconductor process to a certain extent, the integration process of the semiconductor structure with the metal gate also presents more challenges and bottlenecks. Please refer to FIG. 1 to FIG. 2 . FIG. 1 to FIG. 2 are schematic diagrams showing a method for forming a semiconductor structure having a metal gate. As shown in Fig. 1, first, a semiconductor substrate 10 is provided. A gate structure 12 is then formed on the semiconductor substrate 10, wherein the gate structure 12 includes a dummy patterned polysilicon layer 12a and a patterned gate dielectric layer 12b. A lightly doped source drain 13 is formed on the semiconductor substrate 10. A biasing sidewall 14 and a sidewall 16 are then formed on the periphery of the gate structure 12. A source region 18a and a drain region 18b are then formed. Finally, an interlayer dielectric layer 17 is formed, and a portion of the interlayer dielectric layer 17 above the dummy patterned polysilicon layer 12a is removed by a chemical mechanical polishing (CMP) process, so that the exposed dummy patterned polysilicon layer 12a is like It is disposed in the trench 19 defined by the offset sidewalls 14 and the patterned gate dielectric layer 12b.

接著如第2圖所示,蝕刻虛置圖案化多晶矽層12a以曝露出溝槽19,再依序直接沉積一功函數調整層21與一閘極導電層20於溝槽19中,如此可透過閘極導電層20作為金屬閘極而與其它金屬內連線電性相連接,以形成閘極電訊號之傳送路徑。不過,隨著未來半導體結構之閘極結構12的溝槽19之寬高比(aspect ratio)之狹窄限制,尤其是縮小到28奈米(nm)製程後,現行金屬閘極導電層的沉積方式,勢必無法提供良好的階梯覆蓋率(step coverage),而會出現突懸(overhang)或孔洞(void)等瑕疵,嚴重影響沉積閘極導電層時之填洞品質。Then, as shown in FIG. 2, the dummy patterned polysilicon layer 12a is etched to expose the trench 19, and a work function adjusting layer 21 and a gate conductive layer 20 are directly deposited in the trench 19, so as to be transparent. The gate conductive layer 20 is electrically connected to other metal interconnections as a metal gate to form a transmission path of the gate electrical signal. However, with the narrowness of the aspect ratio of the trench 19 of the gate structure 12 of the semiconductor structure in the future, especially after the reduction to the 28 nm process, the current metal gate conductive layer is deposited. It is bound to provide good step coverage, and there will be overhangs or voids, which seriously affect the quality of the hole filling when depositing the gate conductive layer.

有鑑於此,習知具金屬閘極之半導體結構之閘極導電層存在沉積品質並不理想之缺點,以及依據目前製程技術尚無法順利解決溝槽填洞時所產生的突懸或孔洞的問題。In view of this, it is known that the gate conductive layer of the semiconductor structure having the metal gate has the disadvantage that the deposition quality is not ideal, and the problem of the overhang or the hole generated when the trench is filled in the hole cannot be solved smoothly according to the current process technology. .

本發明之主要目的係提供一種形成具金屬閘極之半導體結構以及形成方法,以改善上述習知之問題。SUMMARY OF THE INVENTION A primary object of the present invention is to provide a semiconductor structure having a metal gate and a method of forming the same to improve the above-mentioned problems.

為達上述目的,本發明提供一種形成具金屬閘極之半導體結構之方法,且方法至少包含下列步驟。首先,提供一半導體基板。接著形成至少一閘極結構於半導體基板上。然後,形成一側壁子結構於閘極結構之周圍側壁。接續形成一層間介電質層。接著,平坦化層間介電質層。然後,去除部份閘極犧牲層至一初始蝕刻深度,形成一開口並曝露部份之側壁子結構。再去除部份之暴露的側壁子結構,以擴大開口。隨後,經由該開口以完全去除閘極犧牲層。最後,形成一閘極導電層,填滿該開口。To achieve the above object, the present invention provides a method of forming a semiconductor structure having a metal gate, and the method includes at least the following steps. First, a semiconductor substrate is provided. At least one gate structure is then formed on the semiconductor substrate. A sidewall substructure is then formed on the sidewalls surrounding the gate structure. An interlayer dielectric layer is formed. Next, the interlayer dielectric layer is planarized. Then, a portion of the gate sacrificial layer is removed to an initial etch depth to form an opening and expose portions of the sidewall substructure. A portion of the exposed sidewall substructure is then removed to enlarge the opening. Subsequently, the gate sacrificial layer is completely removed through the opening. Finally, a gate conductive layer is formed to fill the opening.

為達上述目的,本發明提供一種具金屬閘極之半導體結構。具金屬閘極之半導體結構至少包含一半導體基板、一閘極結構、一第一側壁子以及一第二側壁子。其中,閘極結構設置於半導體基板上,且閘極結構至少包含一閘極介電層與一閘極導電層,而閘極導電層包含一第一金屬以及一第二金屬,且第二金屬覆蓋於第一金屬之上。此外,第一側壁子設置於第一金屬周圍側壁,且第二金屬覆蓋第一側壁子之上方。以及一第二側壁子,設置於第一側壁子周圍側壁周圍。To achieve the above object, the present invention provides a semiconductor structure having a metal gate. The semiconductor structure having a metal gate includes at least a semiconductor substrate, a gate structure, a first sidewall and a second sidewall. The gate structure is disposed on the semiconductor substrate, and the gate structure comprises at least a gate dielectric layer and a gate conductive layer, and the gate conductive layer comprises a first metal and a second metal, and the second metal Covered on the first metal. In addition, the first sidewall is disposed on the sidewall surrounding the first metal, and the second metal covers the first sidewall. And a second sidewall disposed around the sidewall around the first sidewall.

本發明具金屬閘極之半導體結構與形成方法,其主要係利用部份去除第一側壁子後所產生之擴大開口,再經由此擴大開口接續完成閘極導電層之製作。本發明利用具金屬閘極之半導體結構與形成方法可有效改善習知製作金屬閘極時之階梯覆蓋率不佳的問題,藉以使閘極結構內壁連續且均勻地覆蓋閘極導電層,並一併解決溝槽出現突懸或孔洞的問題。The semiconductor structure and method for forming a metal gate of the present invention mainly utilizes an enlarged opening formed by partially removing the first sidewall, and then completing the fabrication of the gate conductive layer through the enlarged opening. The invention utilizes a semiconductor structure and a forming method with a metal gate to effectively improve the problem of poor step coverage when manufacturing a metal gate, so that the inner wall of the gate structure continuously and uniformly covers the gate conductive layer, and Together, the problem of overhanging or holes in the groove is solved.

請參照第3圖至第9圖,第3圖至第9圖為本發明具金屬閘極之半導體結構之形成方法示意圖。如第3圖所示,首先,提供一半導體基板30,且半導體基板30之材質可選用包含例如是矽、矽鍺(SiGe)、磊晶矽或鍺等材質作為基底。接著,於半導體基板30上依序形成一介電層32與一多晶矽層34,而多晶矽層34之材料可為不具有任何摻質(undoped)或由具有N+或P+摻質的多晶矽材料所構成。接續於多晶矽層34上形成一遮罩材料層(圖未示),並利用一圖案化光阻層(圖未示)當作遮罩進行一圖案化轉移製程,以先形成一圖案化遮罩層36,而圖案化遮罩層36則可由二氧化矽(SiO2 )、氮化矽(SiN)、碳化矽(SiC)或氮氧化矽(SiON)等所構成。Please refer to FIG. 3 to FIG. 9 . FIG. 3 to FIG. 9 are schematic diagrams showing a method for forming a semiconductor structure with a metal gate according to the present invention. As shown in FIG. 3, first, a semiconductor substrate 30 is provided, and the material of the semiconductor substrate 30 may be selected from a material including, for example, germanium, germanium (SiGe), epitaxial germanium or germanium. Then, a dielectric layer 32 and a polysilicon layer 34 are sequentially formed on the semiconductor substrate 30, and the material of the polysilicon layer 34 may be undoped or composed of polycrystalline germanium material having N+ or P+ dopants. . A masking material layer (not shown) is formed on the polysilicon layer 34, and a patterned photoresist layer (not shown) is used as a mask to perform a pattern transfer process to form a patterned mask. Layer 36, and patterned mask layer 36 may be composed of cerium oxide (SiO 2 ), cerium nitride (SiN), tantalum carbide (SiC) or cerium oxynitride (SiON).

如第4圖所示,再利用圖案化遮罩層36將介電層32與多晶矽層34蝕刻形成閘極介電層32a與虛置圖案化多晶矽層,而得到一閘極結構38。值得留意,在本實施例中,由於虛置圖案化多晶矽層並非本實施例之最終閘極電極,故虛置圖案化多晶矽層係亦可稱為一閘極犧牲層34a,而可為其他耐高溫材料替換之。隨後去除圖案化遮罩層36。在本實施例中,形成閘極結構38之閘極介電層32a之材質可選用包含氧化物、氧化矽、氮氧化矽(SiON)、氮化矽(Si3 N4 )、氧化鉭(Ta2 O5 )、鋁(Al)、氧化鉿(HfO)、含氮氧化物、氮化氧化物、含鉿氧化物、含鉭氧化物、含鋁氧化物、高介電常數(K)(K>5)材料等,或上述材料之組合,而並未加以侷限,且閘極介電層32a較佳需滿足低閘極漏電之材料特性。As shown in FIG. 4, the dielectric layer 32 and the polysilicon layer 34 are further etched using the patterned mask layer 36 to form a gate dielectric layer 32a and a dummy patterned polysilicon layer to obtain a gate structure 38. It should be noted that in the present embodiment, since the dummy patterned polysilicon layer is not the final gate electrode of the embodiment, the dummy patterned polysilicon layer may also be referred to as a gate sacrificial layer 34a, but may be other resistant. Replace with high temperature materials. The patterned mask layer 36 is then removed. In this embodiment, the material of the gate dielectric layer 32a forming the gate structure 38 may be selected from the group consisting of oxide, cerium oxide, cerium oxynitride (SiON), tantalum nitride (Si 3 N 4 ), and tantalum oxide (Ta). 2 O 5 ), aluminum (Al), hafnium oxide (HfO), nitrogen oxides, nitrided oxides, niobium-containing oxides, niobium-containing oxides, aluminum-containing oxides, high dielectric constant (K) (K >5) Materials, etc., or a combination of the above materials, without limitation, and the gate dielectric layer 32a preferably needs to satisfy the material characteristics of low gate leakage.

在形成閘極結構38之後,隨即進行所需之摻雜製程。例如選擇性進行一淺摻雜離子佈植製程,將N型或P型摻質進行植入半導體基板30中,以於閘極結構38相對的半導體基板30中各形成一輕摻雜源極區域40a與汲極區域40b。隨後,依序形成一第一側壁子42於閘極結構38之側壁周圍以及一第二側壁子43於第一側壁子42之側壁周圍。在本實施例中,第一側壁子42可為單一材料層,或包含複數個子結構層,較佳為矽氧層或氮化矽層交替排列形成,例如是由矽氧層、氮矽層或矽氧層(ONO)來構成子結構層42a、子結構層42b以及子結構層42c等三層結構,但並未加以侷限,而各子結構層之厚度實質上可分別介於1奈米至5奈米之間。After the gate structure 38 is formed, the desired doping process is then performed. For example, a shallow doping ion implantation process is selectively performed, and an N-type or P-type dopant is implanted into the semiconductor substrate 30 to form a lightly doped source region in each of the semiconductor substrates 30 opposite to the gate structure 38. 40a and the bungee region 40b. Subsequently, a first sidewall 42 is formed around the sidewall of the gate structure 38 and a second sidewall 43 is formed around the sidewall of the first sidewall 42. In this embodiment, the first sidewalls 42 may be a single material layer or comprise a plurality of substructure layers, preferably an alternating layer of tantalum oxide or tantalum nitride, for example, a silicon oxide layer, a nitrogen layer or The oxide layer (ONO) constitutes a three-layer structure such as the substructure layer 42a, the substructure layer 42b, and the substructure layer 42c, but is not limited, and the thickness of each substructure layer may be substantially 1 nm to Between 5 nanometers.

在完成第一側壁子42與第二側壁子43後,緊接著進行另一重摻雜離子佈植製程,將N型或P型摻質進行植入半導體基板30,以於第二側壁子43周圍各形成一源極區域44a與一汲極區域44b,需注意的是,上述源極區域44a與汲極區域44b的製程亦可再整合選擇性磊晶成長等應變矽製程,以提高通道區域之載子的遷移率,且相關製程的進行順序可依製程需求改變調整,在此不多贅述。隨後進行一快速升溫退火製程,利用900至1050℃的高溫來活化源極區域44a與汲極區域44b內的摻雜質,並同時修補各離子佈植製程中受損之半導體基板30表面的晶格結構。After the first sidewall 42 and the second sidewall 43 are completed, another heavily doped ion implantation process is performed to implant the N-type or P-type dopant into the semiconductor substrate 30 so as to surround the second sidewall 43. Each of the source region 44a and the drain region 44b is formed. It should be noted that the process of the source region 44a and the drain region 44b may further integrate a strain-increasing process such as selective epitaxial growth to improve the channel region. The mobility of the carriers, and the order of the related processes can be adjusted according to the process requirements, and will not be repeated here. Subsequently, a rapid thermal annealing process is performed, and the high temperature of 900 to 1050 ° C is used to activate the doping in the source region 44a and the drain region 44b, and simultaneously repair the crystal on the surface of the damaged semiconductor substrate 30 in each ion implantation process. Grid structure.

接續形成一層間介電質層46,覆蓋於閘極結構38、源極區域44a、汲極區域44b、第一側壁子42以及第二側壁子43上,其中層間介電質層46可包含氮化物、氧化物、碳化物、低介電係數材料之一或多者。An interlayer dielectric layer 46 is formed to cover the gate structure 38, the source region 44a, the drain region 44b, the first sidewall portion 42 and the second sidewall portion 43. The interlayer dielectric layer 46 may comprise nitrogen. One or more of a material, an oxide, a carbide, or a low dielectric constant material.

如第5圖所示,再進行一平坦化步驟,用以去除閘極結構38上方之部份層間介電質層46,直至曝露閘極犧牲層34a為止,且使曝露出之閘極犧牲層34a實質上切齊於層間介電質層46之表面,其中平坦化步驟可使用例如化學機械研磨製程(Chemical Mechanical Polishing/Planarization,CMP)、乾式蝕刻製程或濕式蝕刻製程或其組合。As shown in FIG. 5, a planarization step is performed to remove a portion of the interlayer dielectric layer 46 over the gate structure 38 until the gate sacrificial layer 34a is exposed, and the exposed sacrificial layer is exposed. 34a is substantially aligned with the surface of the interlayer dielectric layer 46, wherein the planarization step may use, for example, a Chemical Mechanical Polishing/Planarization (CMP), a dry etching process, or a wet etching process, or a combination thereof.

接著進行將曝露之閘極犧牲層34a去除之步驟,需注意,閘極犧牲層34a之去除可採用乾式蝕刻製程或濕式蝕刻製程或其組合。在本實施例中,閘極犧牲層34a之去除較佳乃採取二階段蝕刻製程來進行去除,如第5圖所示,第一階段乃先去除部份閘極犧牲層34a至一初始蝕刻深度d,以於閘極結構38中形成一第一開口52並曝露部份之第一側壁子42,需注意的是,初始蝕刻深度d較佳至少大於原本閘極犧牲層34a高度的二分之一以上。舉例來說,第一階段可採取濕式蝕刻製程來進行去除,例如利用氨水(ammonium hydroxide,NH4 OH)或氫氧化四甲銨(Tetramethylammonium Hydroxide,TMAH)等蝕刻溶液並配合較佳時間參數以及溫度參數來去除由多晶矽所構成的部份閘極犧牲層34a,以使原本閘極結構38形成一第一開口52,但蝕刻溶液選用並不加以限制,而可為任何適當之蝕刻液。惟需注意的是,在本實施例中,由於所選定的蝕刻溶液對於閘極犧牲層34a與第一側壁子42具有較高蝕刻選擇比,因此僅去除部份閘極犧牲層34a而保留第一側壁子42。Next, the step of removing the exposed gate sacrificial layer 34a is performed. It should be noted that the gate sacrificial layer 34a may be removed by a dry etching process or a wet etching process or a combination thereof. In the present embodiment, the gate sacrificial layer 34a is preferably removed by a two-stage etching process. As shown in FIG. 5, the first stage removes a portion of the gate sacrificial layer 34a to an initial etching depth d. A first opening 52 is formed in the gate structure 38 and a portion of the first sidewall 42 is exposed. It should be noted that the initial etching depth d is preferably at least one-half greater than the height of the original gate sacrificial layer 34a. the above. For example, the first stage can be removed by a wet etching process, such as using an ammonia hydroxide (NH 4 OH) or a tetramethylammonium Hydroxide (TMAH) etching solution in combination with better time parameters and The temperature parameter is used to remove a portion of the gate sacrificial layer 34a composed of polysilicon so that the original gate structure 38 forms a first opening 52. However, the etching solution is selected and is not limited, and may be any suitable etching liquid. It should be noted that, in this embodiment, since the selected etching solution has a higher etching selectivity ratio for the gate sacrificial layer 34a and the first sidewall sub-42, only a portion of the gate sacrificial layer 34a is removed and the first portion is retained. A side wall 42.

然後,如第6圖所示,於第二階段乃接著去除部份曝露於第一開口52之第一側壁子42,以擴大第一開口52而形成一第二開口54。惟需注意的是,由於本實施例中之第一側壁子42可由具有氧化層與氮化矽層交錯排列之多層結構所組成,故去除部份曝露於第一開口52之第一側壁子42亦即可選擇性蝕刻具多層結構之第一側壁子42之至少一層以上結構,例如,移除了氧化層以及一部份的氮化矽層,或者,於本發明另一實施例中,也可以部份移除暴露於第一開口52的第一側壁子42至初始蝕刻深度d,將初始蝕刻深度d以上的第一側壁子42完全移除。Then, as shown in FIG. 6, in the second stage, a portion of the first sidewall 42 exposed to the first opening 52 is removed to enlarge the first opening 52 to form a second opening 54. It should be noted that, since the first sidewall 42 in this embodiment may be composed of a multilayer structure having a staggered arrangement of an oxide layer and a tantalum nitride layer, the removed portion of the first sidewall 42 exposed to the first opening 52 is removed. Optionally, at least one or more structures of the first sidewalls 42 having a multi-layer structure may be selectively etched, for example, the oxide layer and a portion of the tantalum nitride layer are removed, or, in another embodiment of the present invention, The first sidewall 42 exposed to the first opening 52 may be partially removed to an initial etch depth d to completely remove the first sidewall 42 above the initial etch depth d.

請參考第6圖,去除部份曝露於第一開口52之第一側壁子42可選擇性去除第一側壁子42之子結構層42a、42b、42c等,然而蝕刻之子結構層數目並未加以侷限,且蝕刻各子結構層之深度可依元件設計加以調整。在此需特別說明的是,舉例而言,若以選擇性蝕刻具多層結構之第一側壁子42之第一子結構層42a時,第一側壁子42之第一子結構層42a之蝕刻深度可定義為第一蝕刻長度(圖未示),而第一蝕刻長度需小於或等於前述初始蝕刻深度d。同樣地,若以選擇性蝕刻第一側壁子42之第二子結構層42b時,第一側壁子42之第二子結構層42b之蝕刻深度定義為第二蝕刻長度(圖未示),而第二蝕刻長度需小於或等於前述第一蝕刻長度。同理,若以選擇性蝕刻具多層結構之第一側壁子42之複數層結構時將可依此類推,而不在此贅述。當然,若初始蝕刻深度d、第一蝕刻長度、第二蝕刻長度等全部相同時,將會移除第一側壁子42之部份直至初始蝕刻深度d。藉由上述非等長或等長蝕刻各子結構層以產生擴大開口結構,以利後續形成導電金屬層之品質。Referring to FIG. 6, the first sidewalls 42 exposed to the first opening 52 are removed to selectively remove the sub-structure layers 42a, 42b, 42c and the like of the first sidewalls 42. However, the number of etched sub-structure layers is not limited. And the depth of etching each sub-structure layer can be adjusted according to the component design. Specifically, for example, if the first sub-structure layer 42a of the first sidewall 42 having a multi-layer structure is selectively etched, the etching depth of the first sub-structure layer 42a of the first sidewall 42 is etched. It may be defined as a first etch length (not shown), and the first etch length needs to be less than or equal to the aforementioned initial etch depth d. Similarly, if the second sub-structure layer 42b of the first sidewall 42 is selectively etched, the etching depth of the second sub-structure 42b of the first sidewall 42 is defined as a second etched length (not shown), and The second etch length needs to be less than or equal to the aforementioned first etch length. Similarly, if a plurality of layers of the first side wall member 42 having a multi-layer structure are selectively etched, the same can be omitted, and will not be described herein. Of course, if the initial etching depth d, the first etching length, the second etching length, and the like are all the same, a portion of the first sidewall portion 42 will be removed up to the initial etching depth d. Each of the substructure layers is etched by the non-equal length or the same length to create an enlarged opening structure to facilitate the subsequent formation of the quality of the conductive metal layer.

如第7圖所示,第7圖係繪示接續第5圖之另一較佳變化實施例中,值得關注,去除部份曝露於第一開口54內之第一側壁子42以擴大第一開口54而形成一第二開口54之步驟,亦即第二階段蝕刻,除了上述可以用一般乾蝕刻或溼蝕刻的方式外,還可選擇性替換或增加一物理性離子轟擊(ion bombardment)步驟,例如在第二階段蝕刻時,使用蝕刻步驟並加上一物理性離子轟擊步驟,或者直接使用物理性離子轟擊步驟來取代一般的蝕刻步驟,以對子結構層42a、42b、42c同時進行一非等向性蝕刻步驟,進而形成一斜面結構,藉此使第二開口54圓角化而更為向外擴大。As shown in FIG. 7, FIG. 7 illustrates another preferred embodiment of the continuation of FIG. 5, and it is worth noting that the first side wall 42 exposed in the first opening 54 is removed to enlarge the first The step of forming a second opening 54 by the opening 54, that is, the second-stage etching, in addition to the above-mentioned general dry etching or wet etching, optionally replacing or adding a physical ion bombardment step. For example, in the second stage etching, an etching step is applied and a physical ion bombardment step is added, or a physical ion bombardment step is directly used instead of the general etching step to simultaneously perform the substructure layers 42a, 42b, 42c. The non-isotropic etching step further forms a beveled structure whereby the second opening 54 is rounded to expand outward.

如第8圖所示,接著,乃採取濕式蝕刻製程並經由第二開口54以完全去除剩餘之部份閘極犧牲層34a,形成一第三開口80,在空間關係上,第二開口54之寬度實質上大於第一開口52與第三開口80之寬度。需注意的是,在形成第三開口80時會同時暴露出設置於第三開口80底部的閘極介電層32a。緊接著,利用有機金屬化學氣相沈積法(metal organic chemical vapor deposition,MOCVD)、分子束磊晶法(Molecular Beam Epitaxial)、化學氣相沈積(Chemical Vapor Deposition)製程或物理氣相沉積(Physical Vapor Deposition)製程等來加以形成一功函數調整層82覆蓋於閘極介電層32a與第一側壁子42表面。在本實施例中,功函數調整層82設置之目的乃為了使半導體之閘極電極與閘極介電層32a間能階狀態接續以滿足功函數(work function)匹配調整之用,而此功函數調整層82可依據半導體的型態可由N型功函數調整層82所構成或由P型功函數調整層82所構成。舉例來說,若後續欲製備的半導體為N型電晶體,功函數調整層可選擇採用例如是氮化鈦(TiN)、碳化鉭(TaC)、氮化鉭(TaN)、氮化矽鉭(TaSiN)、鋁(Al)、鉭(Ta)、鈦(Ti)、鋁化鈦(TiAl)、氮化鋁鈦(TiAlN)或鉿(Hf)等材料,或其組合等N型金屬所構成。然而,若所製備的電晶體為P型電晶體,功函數調整層可選擇採用例如是氮化鈦(TiN)、鎢(W)、氮化鎢(WN)、鉑(Pt)、鎳(Ni)、釕(Ru)、碳氮化鉭(TaCN)或碳氮氧化鉭(TaCNO)等材料所構成。在本實施例中,功函數調整層較佳係採用超薄的氮化鈦(TiN),且可配合選擇性離子佈植等的處理,以同時分別滿足N型電晶體或P型電晶體之功函數匹配需求,且氮化鈦層之厚度實質上介於5奈米至15奈米之間。又,超薄的氮化鈦(TiN)較佳係利用原子層沈積法(atomic layer deposition,ALD)來製作,藉以控制鍍膜厚度的精確性,以達到高品質階梯覆蓋率及極佳的厚度均勻性。補充說明的是,本發明氮化鈦層(TiN)除採用單層結構外,亦可依半導體結構設計而具有數種變化實施例,可再細分為一層、二層或多層結構。舉例來說,氮化鈦層可以為Ti/TiN/Ti三層堆疊結構,亦可為而兩層之TiNTi/TiN之兩層堆疊結構,堆疊方式可以排列組合而未加以侷限。As shown in FIG. 8, next, a wet etching process is performed and a remaining portion of the gate sacrificial layer 34a is completely removed through the second opening 54 to form a third opening 80. In a spatial relationship, the second opening 54 The width is substantially greater than the width of the first opening 52 and the third opening 80. It should be noted that the gate dielectric layer 32a disposed at the bottom of the third opening 80 is simultaneously exposed when the third opening 80 is formed. Then, using metal organic chemical vapor deposition (MOCVD), molecular beam epitaxy, chemical vapor deposition (CVD) or physical vapor deposition (Physical Vapor) A process or the like is formed to form a work function adjustment layer 82 covering the gate dielectric layer 32a and the surface of the first sidewall member 42. In the present embodiment, the purpose of the work function adjustment layer 82 is to connect the energy level between the gate electrode of the semiconductor and the gate dielectric layer 32a to meet the work function matching adjustment. The function adjustment layer 82 may be composed of the N-type work function adjustment layer 82 or the P-type work function adjustment layer 82 depending on the type of the semiconductor. For example, if the semiconductor to be prepared is an N-type transistor, the work function adjusting layer may be selected, for example, titanium nitride (TiN), tantalum carbide (TaC), tantalum nitride (TaN), tantalum nitride ( A material such as TaSiN), aluminum (Al), tantalum (Ta), titanium (Ti), titanium aluminide (TiAl), titanium aluminum nitride (TiAlN) or hafnium (Hf), or a combination thereof. However, if the prepared transistor is a P-type transistor, the work function adjusting layer may select, for example, titanium nitride (TiN), tungsten (W), tungsten nitride (WN), platinum (Pt), nickel (Ni). ), ruthenium (Ru), tantalum carbonitride (TaCN) or tantalum oxynitride (TaCNO). In this embodiment, the work function adjusting layer is preferably made of ultra-thin titanium nitride (TiN), and can be combined with selective ion implantation to simultaneously satisfy the N-type transistor or the P-type transistor. The work function matches the requirements, and the thickness of the titanium nitride layer is substantially between 5 nm and 15 nm. Moreover, ultra-thin titanium nitride (TiN) is preferably fabricated by atomic layer deposition (ALD) to control the thickness of the coating to achieve high-quality step coverage and excellent thickness uniformity. Sex. It should be noted that, in addition to the single layer structure, the titanium nitride layer (TiN) of the present invention may have several variations according to the semiconductor structure design, and may be further subdivided into one layer, two layers or a plurality of layers. For example, the titanium nitride layer may be a Ti/TiN/Ti three-layer stack structure, or a two-layer TiNTi/TiN two-layer stack structure, and the stacking manner may be arranged in combination without being limited.

如第9圖所示,接著,形成一閘極導電層90填滿第三開口80以及第二開口54。在本實施例中,閘極導電層90較佳係採用鋁(Al)金屬,但亦可採用由低電阻材料例如是鎢(W)、鈦鋁合金(TiAl)或鈷鎢磷化物(cobalt tungsten phosphide,CoWP)所構成。隨後,可選擇性採用另一平坦化製程以去除部份覆蓋於層間介電質層46上之閘極導電層90與功函數調整層82,而使處理後之閘極導電層90實質上切齊於層間介電質層46之表面,以完成具金屬閘極之半導體結構94。As shown in FIG. 9, next, a gate conductive layer 90 is formed to fill the third opening 80 and the second opening 54. In the present embodiment, the gate conductive layer 90 is preferably made of aluminum (Al) metal, but may also be made of a low resistance material such as tungsten (W), titanium aluminum alloy (TiAl) or cobalt tungsten phosphide (cobalt tungsten). Phosphide, CoWP). Subsequently, another planarization process may be selectively employed to remove the gate conductive layer 90 and the work function adjustment layer 82 partially covering the interlayer dielectric layer 46, so that the processed gate conductive layer 90 is substantially cut. The surface of the interlayer dielectric layer 46 is aligned to complete the semiconductor structure 94 having a metal gate.

由於第9圖係繪示本發明具金屬閘極之半導體結構之形成方法之最終完成圖,故第9圖亦可作為本發明具金屬閘極之半導體結構之一較佳實施例示意圖。如第9圖所示,本發明具金屬閘極之半導體結構包含一半導體基板30、一閘極結構92、一第一側壁子42、一第二側壁子43以及一功函數調整層82。其中,閘極結構92,設置於半導體基板30上,且閘極結構92至少包含一閘極介電層32a與一閘極導電層90,而第一側壁子42包含複數個子結構層,而各子結構層之厚度實質上介於1奈米至5奈米之間。閘極導電層90包含一第一金屬部份90a以及一第二金屬部份90b,且第二金屬部份90b覆蓋於第一金屬部份90a之上。惟需留意的是,在本實施例中,一功函數調整層82先覆蓋設置於第一側壁子42與閘極介電層32a上,且設置於閘極介電層32a以及第一金屬部份90a之間,而閘極導電層90乃先覆蓋於功函數調整層82之上。關於本發明具金屬閘極之半導體結構材料選用上,半導體基板30之材質包含矽(Si)、矽鍺(SiGe)、磊晶矽或磊晶鍺。又,閘極介電層32a之材料包含氧化物、氧化矽、氮氧化矽(SiON)、氮化矽(Si3 N4 )、氧化鉭(Ta2 O5 )、氧化鋁(Al2 O5 )、氧化鉿(HfO)、含氮氧化物、含鉿氧化物、含鉭氧化物、含鋁氧化物或高介電常數(K>5)材料等,或上述材料之組合。在本實施例中,功函數調整層82包含N型功函數金屬材料或P型功函數金屬材料,而功函數調整層82設置之目的乃為了使半導體之閘極導電層90與閘極介電層32a間能階接續狀態以滿足功函數(work function)匹配調整之用。在功函數調整層82之材料選用方面,舉例來說,N型功函數金屬材料包含氮化鈦(TiN)、碳化鉭(TaC)、氮化鉭(TaN)、氮化矽鉭(TaSiN)、鋁(Al)、鉭(Ta)、鈦(Ti)、鋁化鈦(TiAl)、氮化鋁鈦(TiAlN)或鉿(Hf)等。舉例來說,P型功函數金屬材料包含氮化鈦(TiN)、鎢(W)、氮化鎢(WN)、鉑(Pt)、鎳(Ni)、釕(Ru)、碳氮化鉭(TaCN)或碳氮氧化鉭(TaCNO)等。值得注意,功函數調整層82較佳係為一氮化鈦層,且氮化鈦層之厚度實質上介於5奈米至15奈米之間。在半導體結構空間分佈方面,第一側壁子42設置於第一金屬部份90a之周圍側壁,且位於第二金屬部份90b之下方。又,第二側壁子43,設置於第二金屬部份90b與第一側壁子42之周圍側壁。請再參考第10圖,第10圖為本發明具金屬閘極之半導體結構之另一較佳實施例示意圖。第10圖與第9圖不同之處僅在於第10圖之第一側壁子42鄰近閘極導電層90之側壁具有斜面結構,功函數調整層82係延第一側壁子42進行覆蓋,而第一金屬部份90a係延第一側壁子42設置,以及第二金屬部份90b覆蓋於第一金屬部份90a與第一側壁子42上,故在本實施例中,第一側壁子42提供一向外擴大開口以容納閘極導電層90。此外,本實施例之相同元件部份以於前述第9圖繪示以及說明,在此不多贅述。FIG. 9 is a schematic view showing a preferred embodiment of a semiconductor structure having a metal gate according to the present invention. FIG. 9 is a schematic view showing a final structure of a semiconductor structure having a metal gate according to the present invention. As shown in FIG. 9, the semiconductor structure having a metal gate of the present invention comprises a semiconductor substrate 30, a gate structure 92, a first sidewall portion 42, a second sidewall portion 43 and a work function adjusting layer 82. The gate structure 92 is disposed on the semiconductor substrate 30, and the gate structure 92 includes at least a gate dielectric layer 32a and a gate conductive layer 90, and the first sidewall member 42 includes a plurality of substructure layers, and each of the plurality of substructure layers The thickness of the substructure layer is substantially between 1 nm and 5 nm. The gate conductive layer 90 includes a first metal portion 90a and a second metal portion 90b, and the second metal portion 90b covers the first metal portion 90a. It should be noted that, in this embodiment, a work function adjusting layer 82 is first disposed on the first sidewall 42 and the gate dielectric layer 32a, and is disposed on the gate dielectric layer 32a and the first metal portion. Between the portions 90a, the gate conductive layer 90 is overlaid on the work function adjusting layer 82. Regarding the semiconductor structural material having the metal gate of the present invention, the material of the semiconductor substrate 30 includes bismuth (Si), germanium (SiGe), epitaxial germanium or epitaxial germanium. Further, the material of the gate dielectric layer 32a includes an oxide, cerium oxide, cerium oxynitride (SiON), cerium nitride (Si 3 N 4 ), cerium oxide (Ta 2 O 5 ), and aluminum oxide (Al 2 O 5 ). ), cerium oxide (HfO), nitrogen oxides, cerium-containing oxides, cerium-containing oxides, aluminum-containing oxides or high dielectric constant (K>5) materials, or combinations thereof. In the present embodiment, the work function adjusting layer 82 includes an N-type work function metal material or a P-type work function metal material, and the work function adjusting layer 82 is disposed for the purpose of dielectrically shielding the gate conductive layer 90 and the gate of the semiconductor. The level between the layers 32a is connected to the work function to match the work function matching adjustment. In terms of material selection of the work function adjusting layer 82, for example, the N-type work function metal material includes titanium nitride (TiN), tantalum carbide (TaC), tantalum nitride (TaN), tantalum nitride (TaSiN), Aluminum (Al), tantalum (Ta), titanium (Ti), titanium aluminide (TiAl), titanium aluminum nitride (TiAlN) or hafnium (Hf). For example, the P-type work function metal material includes titanium nitride (TiN), tungsten (W), tungsten nitride (WN), platinum (Pt), nickel (Ni), ruthenium (Ru), tantalum carbonitride ( TaCN) or tantalum oxynitride (TaCNO). It should be noted that the work function adjusting layer 82 is preferably a titanium nitride layer, and the thickness of the titanium nitride layer is substantially between 5 nm and 15 nm. In terms of spatial distribution of the semiconductor structure, the first sidewall 42 is disposed on the surrounding sidewall of the first metal portion 90a and below the second metal portion 90b. Moreover, the second side wall portion 43 is disposed on the side wall of the second metal portion 90b and the first side wall portion 42. Please refer to FIG. 10 again. FIG. 10 is a schematic view showing another preferred embodiment of the semiconductor structure having a metal gate according to the present invention. 10 is different from FIG. 9 only in that the first sidewall 42 of FIG. 10 has a sloped structure adjacent to the sidewall of the gate conductive layer 90, and the work function adjusting layer 82 extends the first sidewall 42 to cover the first sidewall 42 A metal portion 90a is disposed on the first sidewall portion 42 and the second metal portion 90b covers the first metal portion 90a and the first sidewall portion 42. Therefore, in the embodiment, the first sidewall portion 42 is provided. An opening is enlarged outward to accommodate the gate conductive layer 90. In addition, the same component parts of the embodiment are illustrated and described in the foregoing FIG. 9 and will not be further described herein.

綜上所述,本發明具金屬閘極之半導體結構與形成方法,其主要係利用去除部份第一側壁子後所產生之擴大開口,再經由擴大開口接續完成閘極導電層之製作。本發明具金屬閘極之半導體結構與形成方法不僅解決了習知具金屬閘極之半導體結構之閘極結構溝槽狹窄之寬高比限制,進而提供傳統沉積製程尚無法達成之良好階梯覆蓋率,以及克服習知閘極結構溝槽於塞填閘極導電層所出現突懸或孔洞等瑕疵,大幅改善形成閘極導電層時的品質。In summary, the semiconductor structure and method for forming a metal gate of the present invention mainly utilizes an enlarged opening formed by removing a portion of the first sidewall, and then completing the fabrication of the gate conductive layer via the enlarged opening. The semiconductor structure and formation method with metal gate of the invention not only solves the limitation of the aspect ratio of the narrow gate structure of the semiconductor structure with the metal gate, but also provides a good step coverage which cannot be achieved by the conventional deposition process. And overcoming the occurrence of protrusions or holes in the gate conductive layer of the conventional gate structure trenches, and greatly improving the quality when forming the gate conductive layer.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

10...半導體基板10. . . Semiconductor substrate

12...閘極結構12. . . Gate structure

12a...虛置圖案化多晶矽層12a. . . Virtual patterned polycrystalline layer

12b...閘極介電層12b. . . Gate dielectric layer

13...輕摻雜汲極13. . . Lightly doped bungee

14...偏位側壁子14. . . Biased side wall

16...側壁子16. . . Side wall

17...層間介電質層17. . . Interlayer dielectric layer

18a...源極區域18a. . . Source area

18b...汲極區域18b. . . Bungee area

19...溝槽19. . . Trench

20...閘極導電層20. . . Gate conductive layer

21...功函數調整層twenty one. . . Work function adjustment layer

30...半導體基板30. . . Semiconductor substrate

32...介電層32. . . Dielectric layer

32a...閘極介電層32a. . . Gate dielectric layer

34...多晶矽層34. . . Polycrystalline layer

34a...閘極犧牲層34a. . . Gate sacrificial layer

36...圖案化遮罩層36. . . Patterned mask layer

38...閘極結構38. . . Gate structure

40a...源極區域40a. . . Source area

40b...汲極區域40b. . . Bungee area

42...第一側壁子42. . . First side wall

42a...子結構層42a. . . Substructure layer

42b...子結構層42b. . . Substructure layer

42c...子結構層42c. . . Substructure layer

43...第二側壁子43. . . Second side wall

44a...源極區域44a. . . Source area

44b...汲極區域44b. . . Bungee area

46...層間介電質層46. . . Interlayer dielectric layer

52...第一開口52. . . First opening

54...第二開口54. . . Second opening

80...第三開口80. . . Third opening

82...功函數調整層82. . . Work function adjustment layer

90...閘極導電層90. . . Gate conductive layer

90a...第一金屬部份90a. . . First metal part

90b...第二金屬部份90b. . . Second metal part

92...閘極結構92. . . Gate structure

94...半導體結構94. . . Semiconductor structure

d...初始蝕刻深度d. . . Initial etch depth

第1圖至第2圖為習知具金屬閘極之半導體結構之形成方法示意圖。1 to 2 are schematic views showing a method of forming a semiconductor structure having a metal gate.

第3圖至第9圖為本發明具金屬閘極之半導體結構之形成方法示意圖。3 to 9 are schematic views showing a method of forming a semiconductor structure having a metal gate according to the present invention.

第9圖為本發明具金屬閘極之半導體結構之一較佳實施例示意圖。FIG. 9 is a schematic view showing a preferred embodiment of a semiconductor structure having a metal gate according to the present invention.

第10圖為本發明具金屬閘極之半導體結構之另一較佳實施例示意圖。Figure 10 is a schematic view of another preferred embodiment of a semiconductor structure having a metal gate of the present invention.

30...半導體基板30. . . Semiconductor substrate

32a...閘極介電層32a. . . Gate dielectric layer

40a...源極區域40a. . . Source area

40b...汲極區域40b. . . Bungee area

42...第一側壁子42. . . First side wall

43...第二側壁子43. . . Second side wall

44a...源極區域44a. . . Source area

44b...汲極區域44b. . . Bungee area

46...層間介電質層46. . . Interlayer dielectric layer

54...第二開口54. . . Second opening

80...第三開口80. . . Third opening

82...功函數調整層82. . . Work function adjustment layer

90a...第一金屬部份90a. . . First metal part

90b...第二金屬部份90b. . . Second metal part

90...閘極導電層90. . . Gate conductive layer

92...閘極結構92. . . Gate structure

94...半導體結構94. . . Semiconductor structure

Claims (24)

一種形成具金屬閘極之半導體結構之方法,該方法包含下列步驟:提供一半導體基板;形成至少一閘極結構於該半導體基板上,且該閘極結構包含一閘極介電層與一閘極犧牲層;形成一側壁子結構於該閘極結構之兩側;形成一層間介電層,覆蓋於該閘極結構以及該側壁子結構上;平坦化該層間介電層,直至暴露該閘極犧牲層;去除部份該閘極犧牲層至一初始蝕刻深度以形成一開口並暴露部份之該側壁子結構;去除部份暴露於該開口的該側壁子結構以擴大該開口;完全去除該閘極犧牲層;形成一閘極導電層以填滿該開口;以及對該閘極導電層進行一平坦化步驟,使該閘極導電層的一頂面與該層間介電層的一頂面切齊,且有部分該閘極導電層位於該側壁子結構的正上方。 A method of forming a semiconductor structure having a metal gate, the method comprising the steps of: providing a semiconductor substrate; forming at least one gate structure on the semiconductor substrate, and the gate structure comprises a gate dielectric layer and a gate a sacrificial layer; forming a sidewall substructure on both sides of the gate structure; forming an interlayer dielectric layer overlying the gate structure and the sidewall substructure; planarizing the interlayer dielectric layer until the gate is exposed a sacrificial layer; removing a portion of the gate sacrificial layer to an initial etch depth to form an opening and exposing a portion of the sidewall substructure; removing a portion of the sidewall substructure exposed to the opening to expand the opening; completely removing a gate sacrificial layer; forming a gate conductive layer to fill the opening; and performing a planarization step on the gate conductive layer to make a top surface of the gate conductive layer and a top of the interlayer dielectric layer The face is aligned, and a portion of the gate conductive layer is located directly above the sidewall substructure. 如申請專利範圍第1項所述之方法,其中擴大該開口之步驟包含一蝕刻步驟。 The method of claim 1, wherein the step of expanding the opening comprises an etching step. 如申請專利範圍第1項所述之方法,其中擴大該開口之步驟 包含一物理性離子轟擊步驟。 The method of claim 1, wherein the step of expanding the opening Contains a physical ion bombardment step. 如申請專利範圍第1項所述之方法,其中該側壁子結構包含一第一側壁子以及一第二側壁子,其中該第一側壁子位於該閘極結構的兩側,該第二側壁子於該第一側壁子的兩側。 The method of claim 1, wherein the sidewall substructure comprises a first sidewall and a second sidewall, wherein the first sidewall is located on opposite sides of the gate structure, and the second sidewall On both sides of the first side wall. 如申請專利範圍第4項所述之方法,其中擴大該開口之步驟包含部份去除暴露於該開口的該第一側壁子至該初始蝕刻深度。 The method of claim 4, wherein the step of expanding the opening comprises partially removing the first sidewall exposed to the opening to the initial etch depth. 如申請專利範圍第4項所述之方法,其中該第一側壁子包含至少一矽氧層以及至少一氮化矽層。 The method of claim 4, wherein the first sidewall includes at least one layer of germanium oxide and at least one layer of tantalum nitride. 如申請專利範圍第6項所述之方法,其中擴大該開口之步驟會移除該矽氧層以及部份的該氮化矽層。 The method of claim 6, wherein the step of expanding the opening removes the silicon oxide layer and a portion of the tantalum nitride layer. 如申請專利範圍第6項所述之方法,其中各該矽氧層與各該氮化矽層之厚度實質上介於1奈米至5奈米之間。 The method of claim 6, wherein the thickness of each of the silicon oxide layer and each of the tantalum nitride layers is substantially between 1 nm and 5 nm. 申請專利範圍第1項所述之方法,其中於去除部份該閘極犧牲層至該初始蝕刻深度之步驟中,該初始蝕刻深度至少大於二分之一以上該閘極犧牲層之高度。 The method of claim 1, wherein in the step of removing a portion of the gate sacrificial layer to the initial etch depth, the initial etch depth is at least greater than one-half the height of the gate sacrificial layer. 申請專利範圍第1項所述之方法,其中形成該閘極導電層之前先形成一功函數調整層。 The method of claim 1, wherein a work function adjusting layer is formed before the gate conductive layer is formed. 申請專利範圍第10項所述之方法,其中該功函數調整層包含N型功函數金屬材料或P型功函數金屬材料。 The method of claim 10, wherein the work function adjustment layer comprises an N-type work function metal material or a P-type work function metal material. 如申請專利範圍第11項所述之方法,其中該N型功函數金屬材料包含氮化鈦(TiN)、碳化鉭(TaC)、氮化鉭(TaN)、氮化矽鉭(TaSiN)、鋁(Al)、鉭(Ta)、鈦(Ti)、鋁化鈦(TiAl)、氮化鋁鈦(TiAlN)或鉿(Hf)。 The method of claim 11, wherein the N-type work function metal material comprises titanium nitride (TiN), tantalum carbide (TaC), tantalum nitride (TaN), tantalum nitride (TaSiN), aluminum. (Al), tantalum (Ta), titanium (Ti), titanium aluminide (TiAl), titanium aluminum nitride (TiAlN) or hafnium (Hf). 如申請專利範圍第11項所述之方法,其中該P型功函數金屬材料包含氮化鈦(TiN)、鎢(W)、氮化鎢(WN)、鉑(Pt)、鎳(Ni)、釕(Ru)、碳氮化鉭(TaCN)或碳氮氧化鉭(TaCNO)。 The method of claim 11, wherein the P-type work function metal material comprises titanium nitride (TiN), tungsten (W), tungsten nitride (WN), platinum (Pt), nickel (Ni), Ruthenium (Ru), tantalum carbonitride (TaCN) or tantalum carbonitride (TaCNO). 如申請專利範圍第10項所述之方法,其中該功函數調整層較佳係為一氮化鈦層。 The method of claim 10, wherein the work function adjusting layer is preferably a titanium nitride layer. 如申請專利範圍第14項所述之方法,其中該氮化鈦層之厚度實質上介於5奈米至15奈米之間。 The method of claim 14, wherein the titanium nitride layer has a thickness substantially between 5 nm and 15 nm. 一種具金屬閘極之半導體結構,包含:一半導體基板; 一閘極結構,設置於該半導體基板上,該閘極結構包含一閘極介電層與一閘極導電層,其中該閘極導電層包含一第一金屬以及一第二金屬,且該第二金屬覆蓋於該第一金屬之上;一層間介電層,位於該半導體基板上,且該層間介電層的一頂面與該第二金屬層的一頂面切齊;一第一側壁子,設置於該第一金屬之兩側,且該第二金屬覆蓋於該第一側壁子之上方;以及一第二側壁子,設置於該第一側壁子之兩側。 A semiconductor structure having a metal gate, comprising: a semiconductor substrate; a gate structure is disposed on the semiconductor substrate, the gate structure includes a gate dielectric layer and a gate conductive layer, wherein the gate conductive layer comprises a first metal and a second metal, and the gate a second metal covering the first metal; an interlayer dielectric layer on the semiconductor substrate, and a top surface of the interlayer dielectric layer is aligned with a top surface of the second metal layer; a first sidewall And disposed on the two sides of the first metal, and the second metal covers the first side of the first sidewall; and a second sidewall disposed on opposite sides of the first sidewall. 如申請專利範圍第16項所述之結構,其中該第一側壁子包含複數個子結構層。 The structure of claim 16, wherein the first sidewall includes a plurality of substructure layers. 如申請專利範圍第17項所述之結構,其中各該子結構層之厚度實質上介於1奈米至5奈米之間。 The structure of claim 17, wherein the thickness of each of the substructure layers is substantially between 1 nm and 5 nm. 如申請專利範圍第16項所述之結構,另包含一功函數調整層,設置於該閘極介電層以及該第一金屬之間。 The structure of claim 16 further comprising a work function adjusting layer disposed between the gate dielectric layer and the first metal. 如申請專利範圍第19項所述之結構,其中該功函數調整層包含N型功函數金屬材料或P型功函數金屬材料。 The structure of claim 19, wherein the work function adjusting layer comprises an N-type work function metal material or a P-type work function metal material. 如申請專利範圍第20項所述之結構,其中該N型功函數金屬材料包含氮化鈦(TiN)、碳化鉭(TaC)、氮化鉭(TaN)、 氮化矽鉭(TaSiN)、鋁(Al)、鉭(Ta)、鈦(Ti)、鋁化鈦(TiAl)、氮化鋁鈦(TiAlN)或鉿(Hf)。 The structure of claim 20, wherein the N-type work function metal material comprises titanium nitride (TiN), tantalum carbide (TaC), tantalum nitride (TaN), Tantalum nitride (TaSiN), aluminum (Al), tantalum (Ta), titanium (Ti), titanium aluminide (TiAl), titanium aluminum nitride (TiAlN) or hafnium (Hf). 如申請專利範圍第20項所述之結構,其中該P型功函數金屬材料包含氮化鈦(TiN)、鎢(W)、氮化鎢(WN)、鉑(Pt)、鎳(Ni)、釕(Ru)、碳氮化鉭(TaCN)或碳氮氧化鉭(TaCNO)。 The structure of claim 20, wherein the P-type work function metal material comprises titanium nitride (TiN), tungsten (W), tungsten nitride (WN), platinum (Pt), nickel (Ni), Ruthenium (Ru), tantalum carbonitride (TaCN) or tantalum carbonitride (TaCNO). 如申請專利範圍第19項所述之結構,其中該功函數調整層較佳係為一氮化鈦層。 The structure of claim 19, wherein the work function adjusting layer is preferably a titanium nitride layer. 如申請專利範圍第23項所述之結構,其中該氮化鈦層之厚度實質上介於5奈米至15奈米之間。 The structure of claim 23, wherein the thickness of the titanium nitride layer is substantially between 5 nm and 15 nm.
TW098140827A 2009-11-30 2009-11-30 Semiconductor device haivng a metal gate and method of forming the same TWI476838B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW098140827A TWI476838B (en) 2009-11-30 2009-11-30 Semiconductor device haivng a metal gate and method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW098140827A TWI476838B (en) 2009-11-30 2009-11-30 Semiconductor device haivng a metal gate and method of forming the same

Publications (2)

Publication Number Publication Date
TW201118951A TW201118951A (en) 2011-06-01
TWI476838B true TWI476838B (en) 2015-03-11

Family

ID=44935926

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098140827A TWI476838B (en) 2009-11-30 2009-11-30 Semiconductor device haivng a metal gate and method of forming the same

Country Status (1)

Country Link
TW (1) TWI476838B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8872286B2 (en) 2011-08-22 2014-10-28 United Microelectronics Corp. Metal gate structure and fabrication method thereof
TWI635566B (en) * 2012-02-21 2018-09-11 聯華電子股份有限公司 Method for filling trench with metal layer and semiconductor structure formed by using the same
US8860135B2 (en) 2012-02-21 2014-10-14 United Microelectronics Corp. Semiconductor structure having aluminum layer with high reflectivity
TWI623100B (en) * 2012-06-13 2018-05-01 聯華電子股份有限公司 Semiconductor structure and process thereof
CN109244072B (en) * 2018-09-03 2021-05-18 芯恩(青岛)集成电路有限公司 Semiconductor device structure and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376888B1 (en) * 1999-04-30 2002-04-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US7091551B1 (en) * 2005-04-13 2006-08-15 International Business Machines Corporation Four-bit FinFET NVRAM memory device
CN101027770A (en) * 2004-09-27 2007-08-29 英特尔公司 A metal gate electrode semiconductor device
TW200924057A (en) * 2007-11-28 2009-06-01 United Microelectronics Corp Cleaning method following opening etch

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6376888B1 (en) * 1999-04-30 2002-04-23 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
CN101027770A (en) * 2004-09-27 2007-08-29 英特尔公司 A metal gate electrode semiconductor device
US7091551B1 (en) * 2005-04-13 2006-08-15 International Business Machines Corporation Four-bit FinFET NVRAM memory device
TW200924057A (en) * 2007-11-28 2009-06-01 United Microelectronics Corp Cleaning method following opening etch

Also Published As

Publication number Publication date
TW201118951A (en) 2011-06-01

Similar Documents

Publication Publication Date Title
US9397189B2 (en) Semiconductor structure having a metal gate with side wall spacers
US20230030571A1 (en) Semiconductor device and manufacturing method thereof
TW201824492A (en) Semiconductor devices and methods for fabricating the same
TWI715218B (en) Semiconductor device and method manufacturing same
CN108878529B (en) Semiconductor device and method for manufacturing the same
CN111863620A (en) Integrated circuit device and method of manufacturing the same
US20190140076A1 (en) FinFETs and Methods of Forming the Same
CN112750775A (en) Method for forming semiconductor device
TWI476838B (en) Semiconductor device haivng a metal gate and method of forming the same
KR102556751B1 (en) Semiconductor device and method
US10170334B2 (en) Reduction of dishing during chemical mechanical polish of gate structure
CN114078846A (en) Contact plug structure of semiconductor device and forming method thereof
US20230290687A1 (en) Nanostructure field-effect transistor device and method of forming
KR100735522B1 (en) Method for fabricating semiconductor device and semiconductor device by the same
US11637180B2 (en) Transistor gate structures and methods of forming the same
KR102425701B1 (en) Semiconductor devices and methods of manufacture
US20230260836A1 (en) Contact features of semiconductor device and method of forming same
CN220963349U (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
TWI803956B (en) Semiconductor device and method for forming the same
TWI821698B (en) Semiconductor device and method of manufacturing the same
US12009391B2 (en) Nanosheet field-effect transistor device and method of forming
US12021116B2 (en) Semiconductor gates and methods of forming the same
US20220336619A1 (en) Semiconductor Devices and Methods of Manufacture
US20230034854A1 (en) Semiconductor structure and method for forming the same
KR102263324B1 (en) Semiconductor device and method of manufacture