TWI453530B - A reflection mask substrate and a reflection type mask manufacturing method - Google Patents

A reflection mask substrate and a reflection type mask manufacturing method Download PDF

Info

Publication number
TWI453530B
TWI453530B TW098145239A TW98145239A TWI453530B TW I453530 B TWI453530 B TW I453530B TW 098145239 A TW098145239 A TW 098145239A TW 98145239 A TW98145239 A TW 98145239A TW I453530 B TWI453530 B TW I453530B
Authority
TW
Taiwan
Prior art keywords
film
reflective
pattern
absorber
substrate
Prior art date
Application number
TW098145239A
Other languages
English (en)
Other versions
TW201040657A (en
Inventor
Morio Hosoya
Original Assignee
Hoya Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya Corp filed Critical Hoya Corp
Publication of TW201040657A publication Critical patent/TW201040657A/zh
Application granted granted Critical
Publication of TWI453530B publication Critical patent/TWI453530B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2008Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the reflectors, diffusers, light or heat filtering means or anti-reflective means used
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50

Description

反射型光罩基底及反射型光罩之製造方法
本發明係關於一種半導體裝置製造等中使用之曝光用反射型光罩、及作為用以製造該曝光用反射型光罩之原版的反射型光罩基底。
近年來,於半導體產業中,隨著半導體元件之高積體化,超出了光微影法之轉印極限之微細圖案變得越來越需要。因此,使用波長更短之極紫外(Extreme Ultra Violet:以下稱作EUV)光之曝光技術、即EUV微影術受到期待。再者,此處之所謂EUV光係指軟性X射線區域或真空紫外線區域之波段之光,具體而言係指波長為0.2~100nm左右之光。作為該EUV微影術中使用之光罩,例如提出有日本專利特開平8-213303號公報中所揭示之曝光用反射型光罩。
此種反射型光罩係於基板上形成反射曝光光之多層反射膜,於該多層反射膜上形成緩衝膜,進而於其上以圖案狀而形成吸收曝光光之吸收體膜。緩衝膜係以在吸收體膜之圖案形成步驟及修正步驟中保護多層反射膜為目的而設置於多層反射膜與吸收體膜之間。入射至搭載於曝光機(圖案轉印裝置)中之反射型光罩之光將於存在吸收體膜之部分中被吸收,於不存在吸收體膜之部分中由多層反射膜反射,由此所形成之光像通過反射光學系統而轉印至半導體基板上。
上述反射型光罩例如係藉由以下之製程而製造。
(1)於在基板上依序形成多層反射膜、緩衝膜及吸收體膜所得之反射型光罩基底之上面形成特定之光阻圖案,以該光阻圖案為蝕刻光罩,藉由乾式蝕刻於吸收體膜上形成特定之圖案。
(2)此處,使用檢查光,檢查吸收體膜上是否符合設計地形成了圖案。此時,係藉由檢測由吸收體膜所反射之檢查光、及去除吸收體膜而露出之緩衝膜所反射之檢查光,觀察其對比度而進行檢查。
(3)於檢查結果判明吸收體膜之圖案存在白點缺陷或黑點缺陷之情形時,對於白點缺陷,利用FIB(Focused Ion Beam,聚焦離子束)輔助沈積法等進行針孔等之修復,對於黑點缺陷部分,藉由FIB照射等去除不需要之部分而進行修正。
(4)其次,以形成有上述圖案之吸收體膜作為蝕刻光罩,藉由乾式蝕刻按照吸收體膜圖案而去除緩衝膜,將圖案轉印至緩衝膜上。
(5)最後,使用檢查光對所形成之圖案進行最終確認檢查。此時,係藉由檢測由吸收體膜所反射之檢查光、以及去除吸收體膜及緩衝膜而露出之多層反射膜所反射之檢查光,觀察其對比度而進行檢查。
如上所述,於吸收體膜上形成特定圖案之後進行圖案檢查時,係藉由觀察由吸收體膜所反射之檢查光、與去除吸收體膜而露出之緩衝膜所反射之檢查光的對比度而進行檢查,將吸收體膜圖案轉印至緩衝膜上之後進行最終確認檢查時,係藉由觀察由吸收體膜所反射之檢查光、與去除吸收體膜及緩衝膜而露出之多層反射膜所反射之檢查光的對比度而進行檢查,因此若吸收體膜與緩衝膜或多層反射膜之間無法獲得充分之對比度,則無法進行準確之圖案檢查。因此於日本專利第3806702號公報中,揭示有藉由使吸收體層形成為以EUV光等曝光光之吸收體層作為下層、且以相對於檢查光之低反射層作為上層的積層結構,而提高對比度從而可進行準確之圖案檢查的反射型光罩基底。
多層反射膜係折射率不同之元素週期性地積層而成之多層膜,通常使用重元素或其化合物之薄膜與輕元素或其化合物之薄膜交替積層40~60週期左右而成之多層膜。例如,作為對波長為13~14 nm之EUV光之多層反射膜,可較好地使用Mo膜與Si膜交替積層40週期左右而成之Mo/Si週期積層膜。為了提高反射率,較理想的是將折射率較大之Mo膜設為最上層,但Mo與大氣接觸容易氧化,反而會導致反射率下降。為了防止該Mo膜氧化,並且為了在由反射型光罩基底製造反射型光罩時使用化學品等進行清洗時保護其不受損傷,先前係以Si膜作為最表層且使其膜厚亦厚於下層之Si膜,從而發揮保護膜之功能。亦即,可認為係以實質上可於吸收體膜、保護膜與緩衝膜(設置有緩衝膜之情形時)間確保對於檢查光之對比度之方式而進行調整。然而,若於多層反射膜上較厚地形成作為保護膜之Si膜,則存在EUV光之反射率下降之問題。為了解決該問題,近來開始使用如下構成,即,將多層反射膜之最表層設為與同材料之下層為大致相同厚度之Si膜,且於其上面設置以Ru為主成分之材料之保護膜。於該情形時,必須以將Ru作為主成分之保護膜來考慮對於檢查光之對比度。
另一方面,作為上層之低反射層,例如可使用含有Ta、B及O之材料。作為上述圖案檢查中使用之檢查光,先前通常使用波長為257 nm左右之光,於上述含有Ta、B及O之材料之情形時,藉由使膜厚最合適化,可將對波長為257 nm左右之檢查光之反射率調整達到最小。隨著近年來圖案之進一步微細化,開始需要使用波長較先前更短者、例如ArF準分子雷射(波長為193 nm)或F2 準分子雷射(波長為157 nm)來作為圖案檢查中使用之檢查光。然而,於上述含有Ta、B及O之材料之情形時,即便調整膜厚,亦很難將對波長為200 nm以下之檢查光之反射率達到最小,故存在反射率上升之傾向。於保護膜之材料使用Si之情形時,由於對波長為200 nm以下之檢查光之反射率非常高,故而即便吸收體膜之反射率較高,亦可某種程度確保對比度。然而,於保護膜之材料使用以Ru為主成分之材料之情形時,對波長為200 nm以下之檢查光之反射率不如Si之情形般高,故而存在若吸收體膜之反射率較高,則很難確保對比度之問題。
又,為了使用反射型光罩將微細圖案高精度地轉印至半導體基板等上,重要的是提高對於EUV光等曝光光之光罩對比度。
又,如日本專利特開2004-207593號公報所揭示般,於EUV微影術之情形時,亦與使用ArF曝光光等之光穿透型微影術同樣地產生光之繞射之問題,因此嘗試利用相移效果。亦即,於該反射型光罩中,吸收體膜具有如下作用:穿透率調整作用,使EUV曝光光自其表面入射至內部並由多層反射膜反射而再次自表面射出的反射光之光強度降低至特定比率為止;以及相位調整作用,使上述反射光與在吸收體膜露出之部分中EUV曝光光由多層反射膜直接反射之反射光之間,形成產生相移效果之相位差。然而,於保護層使用以Ru為主成分之材料之情形時,存在無法於藉由乾式蝕刻而形成轉印圖案之吸收體膜中使用相同之Ru系材料之問題。
又,通常於反射型光罩基底中,具有吸收曝光光之功能之吸收體膜之膜厚較厚,導致蝕刻該吸收體膜來形成圖案時所需之光阻膜之膜厚亦變厚。若光罩所需要之圖案之線寬較細,則於如先前之較厚之光阻(通常為500 nm至800 nm左右)上形成線寬較細之圖案時,則第一,存在縱橫比變大引起光阻圖案崩塌之虞,第二,難以獲得光阻膜之垂直方向之形狀精度,吸收體圖案之形狀精度惡化,第三,難以將蝕刻氣體供給至光阻圖案之細窄之通路中,並且蝕刻所產生之氣體亦容易滯留,故而於線寬較窄之部分中難以進行蝕刻反應,因此,導致線寬較寬之部分與較窄之部分的蝕刻速度出現差異,無法於光罩面內進行均勻之蝕刻,故若使用先前之較厚之光阻膜,則難以形成例如解像度為50 nm以下之線寬較細之微細圖案。
因此,本發明之第一目的在於提供一種即便於保護膜中使用以Ru為主成分之材料之情形時,亦可提高檢查時對於圖案檢查所使用之波長為200 nm以下之檢查光之對比度的反射型光罩基底及使用其而製造之反射型光罩。本發明之第二目的在於提供一種可提高對於使用光罩時之曝光光之對比度的反射型光罩基底及使用其而製造之反射型光罩。本發明之第三目的在於提供一種即便於保護膜中使用以Ru為主成分之材料之情形時,亦利用相移效果之反射型光罩基底及使用其而製造之反射型光罩。本發明之第四目的在於提供一種可形成高解像度之微細圖案之反射型光罩基底及使用其而製造之反射型光罩。
為了解決上述課題,本發明具有以下構成。
(構成1)一種反射型光罩基底,其特徵在於包含:基板;形成於該基板上反射曝光光之多層反射膜;以及形成於該多層反射膜上吸收曝光光之吸收體膜;且上述吸收體膜形成為包含最上層與除此以外之下層之積層結構,上述最上層係由以矽(Si)及鉻(Cr)中之至少一種以上元素之氮化物、氧化物、氮氧化物、碳氮化物、或碳氮氧化物為主成分之材料所形成,於上述多層反射膜與上述吸收體膜之間,包含以釕(Ru)或其化合物為主成分之保護膜。
根據構成1,上述吸收體膜之最上層係由以矽(Si)及鉻(Cr)中之至少一種以上元素之氮化物、氧化物、氮氧化物、碳氮化物、或碳氮氧化物為主成分之材料所形成,藉此,可提供一種可將對波長為200 nm以下之檢查光之反射率降低為最小,即便於保護膜中使用對該檢查光之反射率並不十分高之以釕(Ru)或其化合物為主成分之材料,亦可提高圖案檢查時之對比度的反射型光罩基底。
又,上述吸收體膜之最上層係由以矽(Si)及鉻(Cr)中之至少一種以上元素之氮化物、氧化物、氮氧化物、碳氮化物、或碳氮氧化物為主成分之材料所形成,藉此,可提供一種可提高最上層的使用光罩時之曝光光穿透率且可提高對於曝光光之光罩對比度的反射型光罩基底。
EUV曝光光之吸收率較高之鉭系材料的穿透率之調整範圍相對較窄,與此相比,於該構成1中,上述吸收體膜之最上層係由以矽(Si)及鉻(Cr)中之至少一種以上元素之氮化物、氧化物、氮氧化物、碳氮化物、或碳氮氧化物為主成分之材料所形成,藉此變得更容易調整吸收體膜整體之對於EUV曝光光之穿透率,且相位差之調整亦變得容易。
(構成2)如構成1之反射型光罩基底,其中上述吸收體膜之下層係由以鉻(Cr)之氮化物、氧化物、氮氧化物、碳化物、碳氮化物、或碳氮氧化物為主成分之材料所形成。
根據構成2,進而吸收體膜之下層亦使用EUV曝光光之吸收率低於鉭系材料的鉻系材料(以鉻(Cr)之氮化物、氧化物、氮氧化物、碳化物、碳氮化物、或碳氮氧化物為主成分之材料),因此更容易調整吸收體膜整體之對於EUV曝光光之穿透率,且相位差之調整亦變得容易。
又,形成吸收體膜之下層之鉻系材料可利用氯氣與氧氣之混合氣體之蝕刻氣體而進行乾式蝕刻,但形成保護膜之釕系材料(以釕(Ru)或其化合物為主成分之材料)對該蝕刻氣體具有較高之耐性,故可使保護膜亦具有作為蝕刻阻止膜(etching stopper)之作用。
(構成3)如構成1之反射型光罩基底,其中上述吸收體膜之最上層係由以矽(Si)之氮化物、氧化物、氮氧化物、碳化物、碳氮化物、或碳氮氧化物為主成分之材料所形成,於上述保護膜與上述吸收體膜之間,包含以鉻(Cr)為主成分之緩衝膜。
根據該構成3,由於由矽系材料(以矽(Si)之氮化物、氧化物、氮氧化物、碳化物、碳氮化物、或碳氮氧化物為主成分之材料)形成之最上層對於氯氣與氧氣之混合氣體之乾式蝕刻具有較高之耐性,故而可以利用該蝕刻氣體進行乾式蝕刻之鉻系材料(以鉻為主成分之材料)形成緩衝膜。
(構成4)如構成3之反射型光罩基底,其中上述吸收體膜之下層係由含有鉭(Ta)為主成分之鉭系材料形成。
根據該構成4,於吸收體膜之最上層中應用利用氟系氣體進行乾式蝕刻之矽系材料,於吸收體膜之下層中應用利用氯氣進行乾式蝕刻之鉭系材料,由此可使最上層發揮蝕刻光罩之功能。藉此,可形成高解像度之微細圖案。又,形成保護膜之釕系材料對於氯系氣體具有較高之耐性,故可使保護膜亦具有作為蝕刻阻止膜之作用。
(構成5)如構成1至4中任一項之反射型光罩基底,其中使上述吸收體膜之最上層之膜厚最合適化,以使得對圖案檢查所使用之波長為200 nm以下之檢查光之反射率達到最小。
根據構成5,由於吸收體膜之最上層中使用之矽系材料或鉻系材料可使對波長為200 nm以下之檢查光之反射率達到最小,因此可提高圖案檢查時之對比度,從而可進行準確之圖案檢查。
(構成6)如構成1至5中任一項之反射型光罩基底,其中上述保護膜係以含有釕(Ru)與鈮(Nb)之釕化合物為主成分。
根據構成6,由該反射型光罩基底製作反射型光罩時,保護膜露出,藉此於該保護膜之表層形成氧化鈮層,從而提高清洗光罩時之耐化學品性。尤其是其對於臭氧水清洗之耐性非常高,可防止曝光光反射率降低。尤其是與保護層之上面接觸地形成以鉻為主成分之緩衝膜之構成之情形時,對乾式蝕刻緩衝膜時之氯氣與氧氣之混合氣體具有較高之耐性。
(構成7)一種反射型光罩之製造方法,其特徵在於:於如構成1至6中任一項之反射型光罩基底之上述吸收體膜上,形成成為對被轉印體之轉印圖案之吸收體膜圖案。
藉由使用如上述構成1至6中任一項之反射型光罩基底來製造反射型光罩,可獲得能夠提高檢查時對於圖案檢查所使用之波長為200 nm以下之檢查光之對比度,又可提高對於使用光罩時之曝光光之對比度,且具有相移效果的反射型光罩。
根據本發明,可提供一種即便於保護膜中使用以Ru為主成分之材料之情形時,亦可提高檢查時對於圖案檢查所使用之波長為200 nm以下之檢查光之對比度的反射型光罩基底及使用其而製造之反射型光罩。
又,根據本發明,可提供一種可提高對於使用光罩時之曝光光之對比度的反射型光罩基底及使用其而製造之反射型光罩。可提供一種即便於保護膜中使用以Ru為主成分之材料之情形時,亦利用相移效果之反射型光罩基底及使用其而製造之反射型光罩。
又,根據本發明,可提供一種可形成高解像度之微細圖案之反射型光罩基底及使用其而製造之反射型光罩。
以下,藉由實施形態詳細說明本發明。
本發明之反射型光罩基底之特徵在於包含:基板;形成於該基板上反射曝光光之多層反射膜;以及形成於該多層反射膜上吸收曝光光之吸收體膜;且上述吸收體膜形成為包含最上層與除此以外之下層之積層結構,上述最上層係由以矽(Si)、鉻(Cr)中之至少一種以上元素之氮化物、氧化物、氮氧化物、碳氮化物、或碳氮氧化物為主成分之材料所形成,於上述多層反射膜與上述吸收體膜之間,包含以釕(Ru)或其化合物為主成分之保護膜。
根據上述反射型光罩基底,可獲得具有以下效果之反射型光罩基底及反射型光罩。
(1)可將對圖案檢查所使用之波長為200 nm以下之檢查光之反射率降低為最小,且即便於保護膜中使用對該檢查光之反射率並不十分高之以釕(Ru)或其化合物為主成分的材料,亦可於吸收體膜與多層反射膜(保護膜)之間獲得對於檢查光之充分之對比度,故而可提高圖案檢查中使用波長為200 nm以下之短波長光時之對比度,可對形成有微細圖案之光罩進行準確之圖案檢查。再者,所謂對於圖案檢查時之檢查光之對比度,係指以下式所定義之值,即,對比度(=反射率比)=來自吸收體膜之檢查光反射率:來自具有保護膜(或者緩衝膜)之多層反射膜之檢查光反射率=1:(來自具有保護膜(或者緩衝膜)之多層反射膜之檢查光反射率/來自吸收體膜之檢查光反射率)。
(2)可提高最上層之使用光罩時之曝光光穿透率,並且可提高對於作為曝光光之例如EUV光之光罩對比度,可高精度地轉印微細圖案。
再者,所謂光罩對比度,係指例如以EUV光作為曝光光之反射型光罩之對比度,亦即,以對比度=反射率比=1:(來自具有保護膜之多層反射膜之反射率/來自吸收體膜之反射率)所定義之值。
(3)將EUV曝光光之吸收率低於鉭系材料的以矽(Si)及鉻(Cr)中之至少一種以上元素之氮化物、氧化物、氮氧化物、碳氮化物、或碳氮氧化物為主成分之材料應用於吸收體膜之最上層,藉此可更容易地調整吸收體膜整體之對於EUV曝光光之穿透率,且容易調整對於EUV曝光光之相位差。
本發明中之上述吸收體膜之最上層係由以矽(Si)及鉻(Cr)中之至少一種以上元素之氮化物、氧化物、氮氧化物、碳氮化物、或碳氮氧化物為主成分之材料所形成,作為矽(Si)之氮化物、氧化物、氮氧化物、碳氮化物、或碳氮氧化物之具有代表性之化合物例,例如可列舉:Si3 N4 、SiO2 、SiON、SiC等。又,該等矽化合物亦可進而含有Mo等原子量相對較小之過渡金屬。於將該等材料使用於吸收體膜之最上層之情形時,為了將對波長為200 nm以下之檢查光之反射率降低為最小,較好的是使材料中之N含量為15~60 at%之範圍,O含量為15~60 at%之範圍。
又,作為上述鉻(Cr)之氮化物、氧化物、氮氧化物、碳氮化物、或碳氮氧化物之具有代表性之化合物例,例如可列舉:CrN、CrNO、CrOCN等。於將該等材料使用於吸收體膜之最上層之情形時,為了將對波長為200 nm以下之檢查光之反射率降低為最小,較好的是使材料中之N含量為15~60 at%之範圍,O含量為15~60 at%之範圍。
形成上述吸收體膜之最上層之材料亦可進而含有硼(B)。藉由含有B,可進一步提高膜之非晶性、表面平滑性。
上述吸收體膜之最上層之膜厚可設為約5~30 nm左右,但由於形成本發明之最上層之材料可將對圖案檢查所使用之波長為200 nm以下之檢查光之反射率降低為最小,故而較好的是使膜厚最合適化,以使反射率達到最小。
上述吸收體膜之下層較好的是由以鉻(Cr)之氮化物、氧化物、氮氧化物、碳化物、碳氮化物、或碳氮氧化物為主成分之材料所形成。由於吸收體膜之下層亦使用EUV曝光光之吸收率低於鉭系材料之鉻系材料(以鉻(Cr)之氮化物、氧化物、氮氧化物、碳化物、碳氮化物、或碳氮氧化物為主成分之材料),因此更容易調整吸收體膜整體之對於EUV曝光光之穿透率,且相位差之調整亦變得容易。
又,形成吸收體膜之下層之鉻系材料可利用氯氣與氧氣之混合氣體之蝕刻氣體而進行乾式蝕刻,但形成保護膜之釕系材料(以釕(Ru)或其化合物為主成分之材料)對該蝕刻氣體具有較高之耐性,因而亦可使保護膜具有作為蝕刻阻止膜之作用。作為鉻系材料之具體例,可列舉與上述最上層中使用之鉻系材料之具體例相同者。
又,於本發明中,上述吸收體膜之下層亦可由含有鉭(Ta)作為主成分之鉭系材料形成。尤其是當吸收體膜之最上層係由以矽(Si)之氮化物、氧化物、氮氧化物、碳化物、碳氮化物、或碳氮氧化物為主成分之材料所形成時,吸收體膜之下層由含有鉭(Ta)作為主成分之鉭系材料形成亦較佳。藉由於吸收體膜之最上層中應用利用氟系氣體進行乾式蝕刻之矽系材料,且於吸收體膜之下層中應用利用氯氣進行乾式蝕刻之鉭系材料,可使最上層發揮蝕刻光罩之功能。藉此,可形成高解像度之微細圖案。又,形成保護膜之釕系材料對氯系氣體具有較高之耐性,因而亦可使保護膜具有作為蝕刻阻止膜之作用。
於本發明中,吸收體膜之下層特別好的是由含有鉭(Ta)、硼(B)及氮(N)之鉭系材料形成。藉由含有B,可進一步提高吸收體膜之非晶性、表面平滑性。又,藉由含有N,可降低吸收體膜之膜應力,且與下層之緩衝膜或多層反射膜之密著性變得良好。
再者,上述吸收體膜之最上層、下層均不必整體為均勻之組成,例如亦可以膜厚方向上之組成不同之方式而使組成傾斜。於使組成傾斜之情形時,所含之元素之組成可連續不同,或者組成亦可階段性地不同。
又,亦可於上述多層反射膜與吸收體膜之間,形成蝕刻特性與該吸收體膜不同之緩衝膜。藉由形成該緩衝膜,可防止在形成吸收體膜之圖案時及修正圖案時因蝕刻而引起多層反射膜損傷。尤其是由含有鉻之鉻系材料形成之緩衝膜可獲得較高之平滑性,故而形成於其上之吸收體膜表面亦獲得較高之平滑性,可減少圖案模糊。作為鉻系緩衝膜之材料,可使用鉻(Cr)單體或者含有鉻(Cr)與選自氮(N)、氧(O)、碳(C)、氟(F)中之至少一種以上元素之材料。例如,藉由含有氮,可使平滑性優異;藉由含有碳,可提高吸收體膜於乾式蝕刻條件下之蝕刻耐性;藉由含有氧,可降低膜應力。具體而言,可較好地列舉:CrN、CrO、CrC、CrF、CrON、CrCO、CrCON等材料。
再者,上述反射型光罩基底亦可為下述狀態,即於吸收體膜之最上層形成有用以形成特定之轉印圖案之光阻膜。
作為使用上述反射型光罩基底所獲得之反射型光罩,可列舉如下所述之態樣。
(1)於形成於基板上之多層反射膜之上面設置有保護膜,且於該保護膜上形成有具有特定之轉印圖案之緩衝膜及吸收體膜之圖案的反射型光罩;
(2)於形成於基板上之多層反射膜之上面設置有保護膜,且於該保護膜上形成有具有特定之轉印圖案之吸收體膜圖案的反射型光罩。
圖1係表示本發明之反射型光罩基底之一實施形態及使用該光罩基底製造反射型光罩之步驟的概略剖面圖。
如圖1(a)所示,作為本發明之反射型光罩基底之一實施形態,係具有如下結構:於基板1上形成有多層反射膜2,於該多層反射膜2上設置有保護膜6,進而於該保護膜6上形成有緩衝膜3、及下層4a與最上層4b之積層結構之吸收體膜4的各層。又,於吸收體膜4之上面具有光阻膜5。
作為基板1,為了防止曝光時之熱所引起之圖案變形,較好的是具有0±1.0×10-7 /℃之範圍內、更好的是0±0.3×10-7 /℃之範圍內之低熱膨脹係數者。作為具有該範圍之低熱膨脹係數之原材料,可使用非晶質玻璃、陶瓷、金屬之任一種。例如,若為非晶質玻璃,則可使用SiO2 -TiO2 系玻璃、石英玻璃,若為結晶化玻璃,則可使用析出β-石英固溶體之結晶化玻璃等。作為金屬基板之例,可列舉因瓦合金(invar alloy,Fe-Ni系合金)等。又,亦可使用單晶矽基板。
又,為了獲得高反射率及高轉印精度,基板1較好的是具有較高之平滑性與平坦度之基板。尤其好的是具有0.2 nm Rms以下之平滑表面(10 μm見方區域中之平滑性)及50 m以下之平坦度(基板主表面之142 mm見方區域中之平坦度)。又,為了防止形成於基板1上之膜因膜應力所引起之變形,基板1較好的是具有較高之剛性者。尤其好的是具有65 GPa以上之較高之楊氏模數(Young's modulus)者。
再者,表示平滑性之單位Rms為均方根粗糙度,可利用原子力顯微鏡進行測定。又,平坦度係表示以TIR(Total Indicated Reading,總體指示偏差量)來表示之表面翹曲(變形量)之值,其係以基板表面為基準,以根據最小平方法而決定之平面作為焦平面所得出的較該焦平面位於上方之基板表面之最高位置與較焦平面位於下方之基板表面之最低位置的高低差之絕對值。
如上所述,多層反射膜2為折射率不同之元素週期性地積層而成之多層膜,通常使用重元素或其化合物之薄膜與輕元素或其化合物之薄膜交替積層40~60週期左右而成之多層膜。
例如,作為針對於波長為13~14 nm之EUV光之多層反射膜,可較好地使用上述之將Mo膜與Si膜交替積層40週期左右而成之Mo/Si週期積層膜。此外,作為EUV光之區域中可使用之多層反射膜還有:Ru/Si週期多層膜、Mo/Be週期多層膜、Mo化合物/Si化合物週期多層膜、Si/Nb週期多層膜、Si/Mo/Ru週期多層膜、Si/Mo/Ru/Mo週期多層膜、Si/Ru/Mo/Ru週期多層膜等。可根據曝光波長而適當選擇材質。
多層反射膜2可藉由利用DC(direct current,直流)磁控濺鍍法或離子束濺鍍法等使各層成膜而形成。於上述Mo/Si週期多層膜之情形時,例如利用離子束濺鍍法,首先使用Si靶材形成厚度為數nm左右之Si膜,其後使用Mo靶材形成厚度為數nm左右之Mo膜,以此為一週期而進行40~60週期積層後,最後形成Si膜。
再者,本實施形態中,於多層反射膜2與緩衝膜3之間包含含有釕(Ru)或其化合物之保護膜6。藉由包含該保護膜6,可防止在形成緩衝膜之圖案時因蝕刻而引起多層反射膜損傷,防止曝光光反射率下降。作為本發明中之上述保護膜之具有代表性之釕化合物,例如可列舉RuNb、RuZr等。
作為緩衝膜3,例如可較好地使用上述之鉻系緩衝膜。該緩衝膜3除了可利用DC濺鍍法、RF(radio frequency,射頻)濺鍍法以外,亦可利用離子束濺鍍法等濺鍍法而形成於上述保護膜上。
再者,對於緩衝膜3之膜厚,例如於使用聚焦離子束(FIB)來修正吸收體膜圖案之情形時,其膜厚較好的是設為20~60 nm左右,於利用電子束等進行修正之情形時,可設為5~15 nm左右。
其次,吸收體膜4係具有吸收作為曝光光之例如EUV光之功能,於本發明中,其為下層4a與最上層4b之積層結構。關於最上層4b係如上所述。又,關於下層4a,如上所述,於本發明中可較好地使用例如以鉭(Ta)為主成分之材料。以Ta為主成分之材料通常為Ta之合金。就平滑性、平坦性之方面而言,上述吸收體膜之結晶狀態較好的是具有非晶態或微晶之結構者。
作為以Ta為主成分之材料,可使用:含有Ta與B之材料、含有Ta與N之材料、含有Ta與B且進而含有O與N之至少任一者之材料、含有Ta與Si之材料、含有Ta與Si及N之材料、含有Ta與Ge之材料、含有Ta與Ge及N之材料等。藉由於Ta中添加B或Si、Ge等,可容易地獲得非晶態之材料,可提高平滑性。又,若於Ta中添加N或O,則抗氧化性提高,因此獲得可提高經時穩定性之效果。
其中,作為尤其好之材料,例如可列舉:含有Ta與B之材料(組成比Ta/B為8.5/1.5~7.5/2.5之範圍)、含有Ta與B及N之材料(N為5~30原子%,設剩餘成分為100時,B為10~30原子%)。於該等材料之情形時,可容易地獲得微晶或非晶結構,可獲得良好之平滑性與平坦性。
前述之吸收體膜之最上層及上述以Ta為主成分之吸收體膜之下層,較好的是利用磁控濺鍍法等濺鍍法而形成。例如,於TaBN膜之情形時,可利用使用含有鉭與硼之靶材,且使用添加有氮氣之氬氣的濺鍍法進行成膜。於利用濺鍍法來形成之情形時,可藉由改變投入至濺鍍靶材之功率或投入氣體壓力而控制內部應力。又,可於室溫左右之低溫下形成,因此可減少對多層反射膜等之熱之影響。
關於吸收體膜4之最上層4b之膜厚係如上所述,關於下層4a之膜厚,只要為可充分吸收作為曝光光之例如EUV光之厚度即可,通常為50~100 nm左右。又,於利用該吸收體膜4而產生對於EUV曝光光之相移效果之情形時,可使膜厚為較薄之25~50 nm左右。
於圖1所示之實施形態中,反射型光罩基底10係如上所述般地構成,包含緩衝膜,但根據吸收體膜4上之圖案形成方法或所形成之圖案之修正方法,有時亦可為未設置有該緩衝膜之構成。
其次,對使用該反射型光罩基底10之反射型光罩之製造步驟進行說明。
反射型光罩基底10(參照圖1(a))之各層之材料及形成方法如上所述。
繼而,於該反射型光罩基底10之吸收體膜4之最上層4b上形成特定之轉印圖案。首先,使用電子束繪圖機,於吸收體膜4上之光阻膜5上繪製特定之圖案,使其顯影,從而形成特定之光阻圖案51(參照圖1(b))。
以所形成之光阻圖案51作為光罩,對吸收體膜4之最上層4b進行乾式蝕刻,從而形成具有特定之轉印圖案之最上層圖案41b(參照圖1(c))。於最上層4b係由例如含有Si之氮化部之材料所形成之情形時,可使用SF6 、CHF3 等氟系氣體進行乾式蝕刻。
將殘留於最上層圖案41b上之光阻圖案51去除之後,以所形成之最上層圖案41b作為光罩,對吸收體膜4之下層4a進行乾式蝕刻,從而形成具有特定之轉印圖案之下層圖案41a(參照圖1(d))。於下層4a係由以Ta為主成分之材料所形成之情形時,可使用氯氣進行乾式蝕刻。
通常於此時檢查吸收體膜圖案(下層圖案41a與最上層圖案41b之積層圖案)是否形成得符合設計。使圖案檢查所使用之檢查光入射至形成有吸收體膜圖案之光罩上,檢測由最上層圖案41b上所反射之檢查光與由去除吸收體膜4而露出之緩衝膜3所反射之檢查光,觀察其對比度,藉此進行檢查。於本發明中,由於可將最上層圖案41b之對波長為200 nm以下之檢查光之反射率降低為最小,吸收體膜4與緩衝膜3之間可獲得對於檢查光之充分之對比度,因此可進行準確之圖案檢查。
如此,例如檢測出不應去除之吸收體膜4被去除所形成之針孔缺陷(白點缺陷)、或由於蝕刻不足一部分未被去除所殘留之蝕刻不足缺陷(黑點缺陷)。當檢測出如此之針孔缺陷或蝕刻不足所致之缺陷時,對其進行修正。
要修正針孔缺陷,例如有利用FIB輔助沈積法而使碳膜等沈積於針孔中等方法。又,要修正蝕刻不足所致之缺陷,有進行FIB照射而去除不需要之部分等方法。此時,緩衝膜3成為針對FIB照射而保護多層反射膜2之保護膜。
如此,於圖案檢查及修正結束後,按照吸收體膜圖案去除所露出之緩衝膜3,於緩衝膜上形成圖案31,從而製作反射型光罩20(參照圖1(e))。此處,於例如由Cr系材料形成之緩衝膜之情形時,可使用含有氯氣與氧氣之混合氣體進行乾式蝕刻。於去除緩衝膜後之部分中,露出作為曝光光之反射區域之多層反射膜2。於露出之多層反射膜上形成有保護膜6。此時,保護膜6針對緩衝膜3之乾式蝕刻而保護多層反射膜2。
最後,進行最終確認檢查,檢查吸收體膜圖案是否係以符合規格之尺寸精度而形成。於該最終確認檢查之情形時亦利用上述檢查光。於本發明中,由於吸收體膜4與表面具有保護膜6之多層反射膜2之間可獲得對於波長為200 nm以下之檢查光之充分之對比度,因此可進行準確之圖案檢查。
又,使用本發明之反射型光罩基底所製造之反射型光罩,尤其適用於將EUV光(波長為0.2~100 nm左右)用作曝光光之情形,且亦可適宜使用於其他波長之光。
實施例
以下,藉由實施例,更具體地說明本發明之實施形態。
(實施例1)
所使用之基板為SiO2 -TiO2 系玻璃基板(152.4 mm見方,厚度為6.35 mm)。該基板之熱膨脹係數為0.2×10-7 /℃,楊氏模數為67 GPa。而且,該玻璃基板藉由機械研磨而形成為0.2 nm Rms以下之平滑表面與50 nm以下之平坦度。
為了使形成於基板上之多層反射膜成為適合於13~14 nm之曝光光波段之多層反射膜,係採用Mo膜/Si膜週期多層反射膜。亦即,多層反射膜係使用Mo靶材與Si靶材,利用離子束濺鍍法於基板上交替地積層而形成。使Si膜為4.2 nm、Mo膜為2.8 nm,以此為一週期而進行40週期積層後,形成4.2 nm之Si膜,最後使用RuNb靶材(at%比,Ru:Nb=20:80)形成2.5 nm之RuNb膜作為保護膜。
如此獲得附有多層反射膜之基板。測定13.5 nm之EUV光以入射角6.0度入射至該多層反射膜時之反射率,結果反射率為66.1%。
其次,於如上所述所獲得之附有多層反射膜之基板之保護膜上形成緩衝膜。作為緩衝膜,係形成厚度10 nm之氮化鉻膜。使用Cr靶材,使用氬氣(Ar)與氮氣(N2 )之混合氣體作為濺鍍氣體,利用DC磁控濺鍍法進行成膜。於所形成之CrNx 膜中,氮(N)為10 at%(x=0.1)。
其次,於該緩衝膜上,將含有Ta、B及N之材料形成為80 nm之厚度作為吸收體膜之下層。亦即,使用含有Ta及B之靶材,於氬氣(Ar)中添加10%之氮氣(N2 ),利用DC磁控濺鍍法進行成膜。再者,所形成之TaBN膜之組成比如下:Ta為80 at%,B為10 at%,N為10 at%。
接著,將含有SiON之材料形成為20 nm之厚度作為吸收體膜之最上層。亦即,使用Si靶材,於在氬氣(Ar)中添加有氮氣(N2 )與氧氣(O2 )之混合氣體下,利用DC磁控濺鍍法進行成膜。再者,上述最上層之膜厚係以使得當將ArF準分子雷射(波長為193 nm)用作圖案之檢查光時,反射率達到最小之方式來設定。SiON膜之組成比如下:Si為36 at%,O為45 at%,N為19 at%。
如上所述製作出本實施例之反射型光罩基底。
其次,使用該反射型光罩基底,以如下所述之方式製作設計規則具有DRAM(Dynamic Random Access Memory,動態隨機存取記憶體)hp32 nm世代之圖案之EUV曝光用反射型光罩。
首先,於上述反射型光罩基底上形成電子束繪圖用光阻膜,並使用電子束繪圖機繪製特定之圖案,於繪圖後,藉由顯影而形成光阻圖案。再者,上述光阻膜之膜厚係設為較先前薄之100 nm。
其次,以該光阻圖案作為光罩,使用氟系(SF6 )氣體乾式蝕刻吸收體膜之最上層,於最上層形成轉印圖案。將殘存之光阻圖案去除後,以該形成有轉印圖案之最上層作為光罩,使用氯氣乾式蝕刻吸收體膜之下層,於吸收體膜上形成下層與最上層之積層之轉印圖案。
於該階段,使用ArF準分子雷射(波長為193 nm)作為檢查光進行吸收體膜之圖案檢查。對於ArF準分子雷射之檢查光之對比度為,來自吸收體膜之反射率:來自緩衝膜之反射率=9.5%:53.1%=1:5.59,可確認獲得了對於吸收體膜之圖案檢查充分之對比度。
進而,使用氯氣與氧氣之混合氣體,按照吸收體膜圖案對殘存於反射區域上(無吸收體膜之圖案之部分)之緩衝膜進行乾式蝕刻而將其去除,露出表面具有保護膜之多層反射膜,從而獲得反射型光罩。
最後,使用ArF準分子雷射(波長為193 nm)作為檢查光,對所獲得之反射型光罩進行最終確認檢查。對於ArF準分子雷射之檢查光之對比度為,來自吸收體膜之反射率:來自具有保護膜之多層反射膜之反射率=9.5%:58.2%=1:6.13,可確認獲得了對於吸收體膜之圖案檢查充分之對比度。又,反射型光罩之圖案檢查之結果,可確認係符合設計地形成了設計規則為DRAM hp32 nm世代之圖案。又,相較於在附有多層反射膜之基板中所測定之反射率,反射區域中之EUV曝光光之反射率幾乎無變化,為66.0%。
其次,使用所獲得之本實施例之反射型光罩,利用圖2所示之圖案轉印裝置,於半導體基板上使用EUV光進行曝光轉印。
搭載有反射型光罩之圖案轉印裝置50概略包含雷射電漿X射線源31、縮小光學系統32等。縮小光學系統32係使用X射線反射鏡。藉由縮小光學系統32,由反射型光罩20所反射之圖案通常縮小至1/4左右。再者,使用13~14 nm之波段作為曝光波長,因此預先設定光程位於真空中。
於上述狀態下,使由雷射電漿X射線源31獲得之EUV光入射至反射型光罩20,使由此處所反射之光通過縮小光學系統32而轉印至矽晶圓(附有光阻層之半導體基板)33上。
入射至反射型光罩20之光,於存在吸收體膜圖案之部分中被吸收體膜吸收而未反射,另一方面,入射至不存在吸收體膜圖案之部分之光由多層反射膜反射。如此,由自反射型光罩20反射之光所形成之影像入射至縮小光學系統32。經過縮小光學系統32之曝光光,於矽晶圓33上之光阻層上曝光轉印圖案。繼而,對該曝光結束之光阻層顯影,藉此於矽晶圓33上形成光阻圖案。
如上所述般將圖案轉印於半導體基板上後,可確認本實施例之反射型光罩之光罩對比度如下,於峰值波長下為1:1000,即使於EUV光之整個波段中亦為較高之1:250,光罩精度為作為DRAM hp32 nm設計規則之要求精度之4.8 nm以下。
(實施例2)
除了與實施例1之反射型光罩基底不同地,於保護膜之上面不形成緩衝膜而積層吸收體膜以外,以與實施例1相同之方式製作反射型光罩基底。
又,除了使用所製作之反射型光罩基底,對緩衝膜進行乾式蝕刻之製程以外,以與實施例1相同之製程製作反射型光罩。
對所製作之反射型光罩,與實施例1相同地使用ArF準分子雷射(波長為193 nm)進行最終確認檢查。亦即,使用ArF準分子雷射(波長為193 nm)作為檢查光,對所獲得之反射型光罩進行最終確認檢查。對於ArF準分子雷射之檢查光之對比度為,來自吸收體膜之反射率:來自具有保護膜之多層反射膜之反射率=9.5%:58.1%=1:6.12,可確認獲得了對於吸收體膜之圖案檢查充分之對比度。又,反射型光罩之圖案檢查之結果,可確認係符合設計地形成了設計規則為DRAM hp32 nm世代之圖案。又,相較於在附有多層反射膜之基板中所測定之反射率,反射區域中之EUV曝光光之反射率幾乎無變化,為65.8%。
進而,使用該反射型光罩,與實施例1相同地將圖案轉印於半導體基板上,結果可確認本實施例之反射型光罩之光罩對比度如下,於峰值波長下為1:1000,即使於EUV光之整個波段中亦為較高之1:250,光罩精度為作為DRAM hp32 nm設計規則之要求精度之4.8 nm以下。
(實施例3)
除了與實施例2之反射型光罩基底不同地,於吸收體膜之最上層中使用CrOCN以外,以與實施例2相同之方式製作反射型光罩基底。CrOCN膜之組成比如下:Cr為33 at%,O為39 at%,C為11 at%,N為17 at%。
又,除了使用所製作之反射型光罩基底,對吸收體膜之最上層利用氯氣與氧氣之混合氣體進行乾式蝕刻之製程以外,以與實施例2相同之製程製作反射型光罩。
對所製作之反射型光罩,與實施例1相同地使用ArF準分子雷射(波長為193 nm)進行最終確認檢查。亦即,使用ArF準分子雷射(波長為193 nm)作為檢查光,對所獲得之反射型光罩進行最終確認檢查。對於ArF準分子雷射之檢查光之對比度為,來自吸收體膜之反射率:來自具有保護膜之多層反射膜之反射率=9.4%:58.1%=1:6.18,可確認獲得了對於吸收體膜之圖案檢查充分之對比度。又,反射型光罩之圖案檢查之結果,可確認係符合設計地形成了設計規則為DRAM hp32 nm世代之圖。又,相較於在附有多層反射膜之基板中所測定之反射率,反射區域中之EUV曝光光之反射率幾乎無變化,為65.8%。
進而,使用該反射型光罩,與實施例1相同地將圖案轉印於半導體基板上,結果可確認本實施例之反射型光罩之光罩對比度如下,於峰值波長下為1:1000,即使於EUV光之整個波段中亦為較高之1:250,光罩精度為作為DRAM hp32 nm設計規則之要求精度之4.8 nm以下。
(實施例4)
除了與實施例3之反射型光罩基底不同地,於吸收體膜之下層中使用CrN以外,以與實施例1相同之方式製作反射型光罩基底。
又,除了使用所製作之反射型光罩基底,使光阻膜之膜厚為150 nm,以及對吸收體膜之最上層及下層利用氯氣與氧氣之混合氣體進行乾式蝕刻以外,以與實施例1相同之製程製作反射型光罩。
對所製作之反射型光罩,與實施例1相同地使用ArF準分子雷射(波長為193 nm)進行最終確認檢查。亦即,使用ArF準分子雷射(波長為193 nm)作為檢查光,對所獲得之反射型光罩進行最終確認檢查。對於ArF準分子雷射之檢查光之對比度為,來自吸收體膜之反射率:來自具有保護膜之多層反射膜之反射率=9.8%:58.2%=1:5.94,可確認獲得了對於吸收體膜之圖案檢查充分之對比度。又,反射型光罩之圖案檢查之結果,可確認係符合設計地形成了設計規則為DRAM hp45 nm世代之圖案。又,相較於在附有多層反射膜之基板中所測定之反射率,反射區域中之EUV曝光光之反射率幾乎無變化,為66.0%。
進而,使用該反射型光罩,與實施例1相同地將圖案轉印於半導體基板上,結果可確認本實施例之反射型光罩之光罩對比度如下,於峰值波長下為1:1000,即使於EUV光之整個波段中亦為較高之1:250,光罩精度為作為DRAM hp45 nm設計規則之要求精度之6.8 nm以下。
(實施例5)
除了與實施例2之反射型光罩基底不同地,於吸收體膜之下層中使用CrN(亦即,吸收體膜之最上層係由SiON形成)以外,以與實施例1相同之方式製作反射型光罩基底。
又,除了使用所製作之反射型光罩基底,對吸收體膜之下層利用氯氣與氧氣之混合氣體進行乾式蝕刻以外,以與實施例1相同之製程製作反射型光罩。
對所製作之反射型光罩,與實施例1相同地使用ArF準分子雷射(波長為193 nm)進行最終確認檢查。亦即,使用ArF準分子雷射(波長為193 nm)作為檢查光,對所獲得之反射型光罩進行最終確認檢查。對於ArF準分子雷射之檢查光之對比度為,來自吸收體膜之反射率:來自具有保護膜之多層反射膜之反射率=9.2%:58.0%=1:6.30,可確認獲得了對於吸收體膜之圖案檢查充分之對比度。又,反射型光罩之圖案檢查之結果,可確認係符合設計地形成了設計規則為DRAM hp32 nm世代之圖。又,相較於在附有多層反射膜之基板中所測定之反射率,反射區域中之EUV曝光光之反射率幾乎無變化,為66.0%。
進而,使用該反射型光罩,與實施例1相同地將圖案轉印於半導體基板上,結果可確認本實施例之反射型光罩之光罩對比度如下,於峰值波長下為1:1000,即使於EUV光之整個波段中亦為較高之1:250,光罩精度為作為DRAM hp32 nm設計規則之要求精度之4.8 nm以下。
1...基板
2...多層反射膜
3...緩衝膜
4...吸收體膜
4a...下層
4b...最上層
5...光阻膜
6...保護膜
10...反射型光罩基底
20...反射型光罩
31...圖案
31...雷射電漿X射線源
32...縮小光學系統
33...矽晶圓
41a...下層圖案
41b...最上層圖案
50...圖案轉印裝置
51...光阻圖案
圖1(a)~(e)係表示反射型光罩基底之一實施形態之構成及使用該光罩基底製造反射型光罩之步驟的剖面圖。
圖2係表示搭載有反射型光罩之圖案轉印裝置之概略構成的圖。
1...基板
2...多層反射膜
3...緩衝膜
4...吸收體膜
4a...下層
4b...最上層
5...光阻膜
6...保護膜
10...反射型光罩基底
20...反射型光罩
31...圖案
41a...下層圖案
41b...最上層圖案
51...光阻圖案

Claims (10)

  1. 一種反射型光罩基底,其特徵在於包含:基板;形成於該基板上反射曝光光之多層反射膜;及形成於該多層反射膜上吸收曝光光之吸收體膜;且上述吸收體膜形成為包含最上層與除此以外之下層之積層結構,上述最上層係由以矽(Si)及鉻(Cr)中之至少一種以上元素之氮化物、氧化物、氮氧化物、碳化物、碳氮化物、或碳氮氧化物為主成分之材料所形成,該材料中之氮或氧之含量之範圍係15~60at%,於上述多層反射膜與上述吸收體膜之間,包含以釕(Ru)或其化合物為主成分之保護膜,當製作反射型光罩時,於上述多層反射膜之上面設置有上述保護膜,且於該保護膜上形成與該保護膜接觸之吸收體膜圖案,並調整反射率比,以提高於上述吸收體膜與上述保護膜之間對於使用於圖案檢查之波長200nm以下之檢查光之對比度。
  2. 如請求項1之反射型光罩基底,其中上述吸收體膜之最上層係由包含Si3 N4 、SiO2 、SiC或CrOCN之化合物之材料形成。
  3. 如請求項1之反射型光罩基底,其中上述吸收體膜之下層係由以鉻(Cr)之氮化物、氧化物、氮氧化物、碳化物、碳氮化物、或碳氮氧化物為主成分之材料所形成。
  4. 如請求項1之反射型光罩基底,其中上述吸收體膜之下層係由以鉭(Ta)為主成分之材料所形成。
  5. 如請求項1至4中任一項之反射型光罩基底,其中以使得對圖案檢查所使用之波長為200nm以下之檢查光的反射率達到最小之方式,使上述吸收體膜之最上層之膜厚最適化。
  6. 如請求項1至4中任一項之反射型光罩基底,其中上述保護膜係以含有釕(Ru)與鈮(Nb)之釕化合物為主成分。
  7. 如請求項5之反射型光罩基底,其中上述保護膜係以含有釕(Ru)與鈮(Nb)之釕化合物為主成分。
  8. 如請求項1至4中任一項之反射型光罩基底,其中於上述吸收體膜與上述保護膜之間,對於使用於圖案檢查之波長200nm以下之檢查光之反射率比係1:5.94以上。
  9. 如請求項1至4中任一項之反射型光罩基底,其中上述吸收體膜對於EUV曝光光具有相移效果。
  10. 一種反射型光罩之製造方法,其特徵在於:於如請求項1至9中任一項之反射型光罩基底之上述吸收體膜上,形成作為對被轉印體之轉印圖案之吸收體膜圖案。
TW098145239A 2008-12-26 2009-12-25 A reflection mask substrate and a reflection type mask manufacturing method TWI453530B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008332212 2008-12-26

Publications (2)

Publication Number Publication Date
TW201040657A TW201040657A (en) 2010-11-16
TWI453530B true TWI453530B (zh) 2014-09-21

Family

ID=42287732

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098145239A TWI453530B (zh) 2008-12-26 2009-12-25 A reflection mask substrate and a reflection type mask manufacturing method
TW103129413A TWI545389B (zh) 2008-12-26 2009-12-25 Reflective mask base and reflective mask

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW103129413A TWI545389B (zh) 2008-12-26 2009-12-25 Reflective mask base and reflective mask

Country Status (5)

Country Link
US (2) US8546047B2 (zh)
JP (2) JP5677852B2 (zh)
KR (2) KR101707591B1 (zh)
TW (2) TWI453530B (zh)
WO (1) WO2010074125A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5653888B2 (ja) * 2010-12-17 2015-01-14 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法、及び半導体デバイスの製造方法
WO2013077430A1 (ja) * 2011-11-25 2013-05-30 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランクおよびその製造方法
US8841047B2 (en) * 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
WO2014112457A1 (ja) 2013-01-15 2014-07-24 Hoya株式会社 マスクブランク、位相シフトマスクおよびこれらの製造方法
JP6287099B2 (ja) * 2013-05-31 2018-03-07 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
US9341941B2 (en) 2013-08-01 2016-05-17 Samsung Electronics Co., Ltd. Reflective photomask blank, reflective photomask, and integrated circuit device manufactured by using reflective photomask
US9075316B2 (en) 2013-11-15 2015-07-07 Globalfoundries Inc. EUV mask for use during EUV photolithography processes
US9739913B2 (en) * 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
JP6440996B2 (ja) * 2014-08-22 2018-12-19 Hoya株式会社 反射型マスクブランク及びその製造方法、反射型マスクの製造方法、並びに半導体装置の製造方法
JP6968945B2 (ja) * 2016-03-28 2021-11-24 Hoya株式会社 反射型マスクブランク、反射型マスク及び半導体装置の製造方法
JP6302520B2 (ja) * 2016-09-07 2018-03-28 Hoya株式会社 マスクブランク、位相シフトマスクの製造方法および半導体デバイスの製造方法
JP7082606B2 (ja) * 2017-03-02 2022-06-08 Hoya株式会社 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
KR102617017B1 (ko) 2017-08-10 2023-12-26 에이지씨 가부시키가이샤 반사형 마스크 블랭크 및 반사형 마스크
JP6505891B2 (ja) * 2018-03-02 2019-04-24 Hoya株式会社 マスクブランク、位相シフトマスクおよびこれらの製造方法
US11204545B2 (en) * 2020-01-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
KR102583075B1 (ko) * 2021-01-27 2023-09-27 주식회사 에스앤에스텍 극자외선 리소그래피용 위상반전 블랭크마스크 및 포토마스크
JP2022124344A (ja) 2021-02-15 2022-08-25 株式会社トッパンフォトマスク 反射型フォトマスクブランク及び反射型フォトマスク

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007273656A (ja) * 2006-03-31 2007-10-18 Hoya Corp 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
JP2007335908A (ja) * 2007-09-18 2007-12-27 Hoya Corp 反射型マスクブランクス及び反射型マスク
JP2008118143A (ja) * 2002-04-11 2008-05-22 Hoya Corp 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213303A (ja) 1995-02-03 1996-08-20 Nikon Corp 反射型x線マスク及びその製造法
JP3806702B2 (ja) 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
KR20070086692A (ko) * 2002-04-11 2007-08-27 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 및 이들의 제조방법
JP2004207593A (ja) 2002-12-26 2004-07-22 Toppan Printing Co Ltd 極限紫外線露光用マスク及びブランク並びにパターン転写方法
US20060222961A1 (en) * 2005-03-31 2006-10-05 Pei-Yang Yan Leaky absorber for extreme ultraviolet mask
FR2894691B1 (fr) * 2005-12-13 2008-01-18 Commissariat Energie Atomique Procede de fabrication de masque lithographique en reflexion et masque issu du procede
JP4926521B2 (ja) 2006-03-30 2012-05-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
JP4867695B2 (ja) 2006-04-21 2012-02-01 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
TWI444757B (zh) * 2006-04-21 2014-07-11 Asahi Glass Co Ltd 用於極紫外光(euv)微影術之反射性空白光罩
TWI417647B (zh) * 2006-06-08 2013-12-01 Asahi Glass Co Ltd Euv微影術用之反射性空白遮光罩及用於彼之具有功能性薄膜的基板
JP2008078551A (ja) * 2006-09-25 2008-04-03 Toppan Printing Co Ltd 反射型フォトマスクブランク及び反射型フォトマスク並びに半導体装置の製造方法
JP4998082B2 (ja) 2007-05-17 2012-08-15 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008118143A (ja) * 2002-04-11 2008-05-22 Hoya Corp 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
JP2007273656A (ja) * 2006-03-31 2007-10-18 Hoya Corp 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
JP2007335908A (ja) * 2007-09-18 2007-12-27 Hoya Corp 反射型マスクブランクス及び反射型マスク

Also Published As

Publication number Publication date
JP5974321B2 (ja) 2016-08-23
TW201040657A (en) 2010-11-16
JP2015084447A (ja) 2015-04-30
WO2010074125A1 (ja) 2010-07-01
KR101707591B1 (ko) 2017-02-16
US8546047B2 (en) 2013-10-01
JPWO2010074125A1 (ja) 2012-06-21
KR20110103386A (ko) 2011-09-20
US9229315B2 (en) 2016-01-05
US20140011122A1 (en) 2014-01-09
US20110217633A1 (en) 2011-09-08
KR101802721B1 (ko) 2017-11-28
JP5677852B2 (ja) 2015-02-25
TW201447472A (zh) 2014-12-16
TWI545389B (zh) 2016-08-11
KR20170019484A (ko) 2017-02-21

Similar Documents

Publication Publication Date Title
TWI453530B (zh) A reflection mask substrate and a reflection type mask manufacturing method
TWI486702B (zh) 反射型光罩、反射型光罩之製造方法及半導體裝置之製造方法
JP4163038B2 (ja) 反射型マスクブランク及び反射型マスク並びに半導体の製造方法
JP5638769B2 (ja) 反射型マスクブランクの製造方法及び反射型マスクの製造方法
JP4926521B2 (ja) 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
TWI396935B (zh) 反射型遮罩基底及其製造方法以及反射型遮罩之製造方法
JP5009590B2 (ja) マスクブランクの製造方法及びマスクの製造方法
US20100084375A1 (en) Method of producing a reflective mask
JP2012159855A (ja) マスクブランクの製造方法及びマスクの製造方法