TW538479B - Methods and apparatus for selective plasma etch - Google Patents

Methods and apparatus for selective plasma etch Download PDF

Info

Publication number
TW538479B
TW538479B TW087112650A TW87112650A TW538479B TW 538479 B TW538479 B TW 538479B TW 087112650 A TW087112650 A TW 087112650A TW 87112650 A TW87112650 A TW 87112650A TW 538479 B TW538479 B TW 538479B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
gas
selectivity
patent application
Prior art date
Application number
TW087112650A
Other languages
English (en)
Inventor
Helen H Zhu
George A Mueller
Thomas D Nguyen
Lumin Li
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW538479B publication Critical patent/TW538479B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

538479 Λ7 B7 五、發明説明(1 ) 發明領域= 本發明關於半導體積體電路(I C)之製造。更明白 地說,本發明關係於改良方法及設備,用以製造具有高寬 高比特性及高選擇性之半導體裝置。 發明背景= 於半導體I C製造中,例如元件電晶體之裝置係被形 成在一半導體晶圓基板上,該基板典型係爲矽作成。於製 程中,各種材料係沉積在不同層上,以建立一想要之I C 。典型地,包含作成圖型金屬化線,多晶矽電晶體閘極等 之導體層係藉由介電質材料(例如二氧化矽,BP SG, PSG,TEOS等)而彼此絕緣。因爲半導體I C係被 製作爲多層結構,有需求以內連線來連接被作成圖案於另 一層I C特性與另一層之I C特性。爲了完成這些內連線 ,通孔係典型地經由介電材料向下蝕刻至一下層之I C特 性。 經濟部中央標準局員工消費合作社印製 一旦通孔被蝕刻,通孔係被塡滿以一導電材料(例如 鎢,鋁等)以建立導電“介層”於下層及後續沉積及圖型 I - 化金屬層之間。於其他例子中,通孔係被蝕刻向下至一下 層多晶矽電晶體閘或矽晶圓擴散區域。一旦這些通孔被蝕 刻,通孔係被導電地塡滿,以形成於下層裝置及後續沉積 及圖型金屬層間之電“接觸”。 爲了容易討論,第1 A圖示出一半導體晶圓1 0之剖 面視圖,晶圓1 0具有各種沉積及蝕刻層。如所示,一包 -4- --------έ—, # * (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) 538479 Λ7 _B7______ 五、發明説明(2 ) 含閘極氧化層2 0,多晶矽閘2 2,間隔層2 4及擴散區 域1 2之電晶體裝置係形成於該半導體晶圓1 0之表面。 一般而言,一旦電晶體之元件被形成,一介電質層16係 沉櫝至一合適厚度於該裝置上及該半導體裝置1 0上。如 上所述,通孔典型地需要被向下蝕刻至多晶矽閘2 2及擴 散區域1 2,使得適當電內連線可以被完成。於傳統通孔 蝕刻技術中,一光阻層1 8係被施加並然後被作成圖案, 以外露出通孔將生成之區域。 一旦光組層1 8被作成圖案,一氟化碳氣體(例如 CF4/CHF3)之組合係被用以蝕刻穿過介電質層1 6 。不幸地,這些氟化碳之組合化學界必須忍受,因爲,當 蝕刻具有高寬高比之小特性時,它們具有較差之蝕刻步階 性特徵。爲了克服這些蝕刻步階事項,氧或一氧化碳係用 以加至電漿氣體中。然而,當氧氣被加入,大量之光阻層 1 8係被除去以及下層之一部份也被除去。 經濟部中央標準局負工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 再者,當過量之光阻層1 8被除去時,由PRi至 P R2之厚度降低可能於通孔蝕刻操作時發生。當大量光阻 層1 8損失後,經常就困難達成良好之臨界尺寸(CD) 控制。於一些例子中,當介電質層相當厚時,光阻層1 8 可能完全被消耗,即使是通孔1 4已經完整形成之前。 雖然,共同使用CxFy/CO電漿蝕刻化學較CxFy /〇2電漿化學消耗較少之光阻,但已經發現其於蝕刻操作 間,產生過量之有毒副產物。當然,降低對環境之破壞一 直是半導體產業之想要現象。因此,當負面環境製程化學 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐〉 -5- 538479 A7 B?^____ 五、發明説明(3 ) 物被使用時,淸洗及拋棄成本同時增加。 因此,傳統電漿蝕刻化學展現很差之選擇性,因爲大 量之光阻層1 8及在通孔下之諸層(例如多晶矽,矽,金 屬等)是過量地蝕刻,當通孔係蝕刻穿過介電質層1 6時 。如上所述及於第1 A圖所示,當通孔1 4 a被蝕刻時, 同時,剛好之蝕刻被完成於通孔1 4 b時,過量之多晶矽 材料可以由多晶矽閘2 2除去。 爲了克服這問題,較厚光阻1 8被使用,以確保合適 當之光阻材料保持於介電質層1 6之保護區域上。增加光 阻層1 8厚度之問題是通孔之寬高比增加。例如,當通孔 之寬度保持不變時,高度增加,則寬高比(即寬高比=高 /寬)將必須增加。不幸地,當較佳選擇性配合至增加之 寬高比時,經常是很難於具有愈來愈小尺寸之半導體裝置 中,完成良好臨界尺寸(C D )控制。 經濟部中央標準局員工消費合作社印繁 (請先閲讀背面之注意事項再填寫本頁) 再者,由於微影工具之限制,對於小特性應用,一較 厚之光阻層1 8並不實際。這是因爲對於愈小之特性,用 於微影工具之曝光之波長必須較短,以完全較佳之解析度 。然而,較短之波長使得其必須有較淺之對焦深度。因爲 接觸及通孔於大小上持續減小,以及光阻層之厚度愈來愈 小,這將更加複雜。 由以上觀之,所需要的是改良之方法及設備,用來完 成高選擇性而不會使經介電材料之蝕刻操作慢下來。 發明目的及槪述z 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -6 - 538479 A7 ____B7 _ 五、發明説明(4 ) 本發明滿足這些需求,藉由提供一改良之蝕刻化學, 用以選擇性地蝕刻經過一光阻罩介電質層之選定區域。該 改良選擇性有利地完成具有高寬高比之通孔及接觸孔之電 漿蝕刻,同時除去較少之光阻,及基層材料。應可以了解 本發明可以以各種方式加以實行,包含成爲一製程,一設 備,一系統,一裝置及一方法。本發明之幾個發明實施例 係說明如下。 於一實施例中,一種用以於一電獎處理室內,融刻一 晶圓之介電質層之選定部份之方法被揭示。該方法包含引 入一蝕刻劑源氣體至電漿處理室,其基本上包含CxFy氣 體及氮氣。該方法更包含敲擊來自蝕刻劑氣體源之電漿處 理室中之電漿。該方法另包含蝕刻至少部份以電漿穿過該 介電質層。 經濟部中央標準局員工消費合作社印裝 (請先閲讀背面之注意事項再填寫本頁) 於另一實施例中,一種用以改良至光阻層及基層材料 之介電質層選擇性之方法被揭示。該方法是執行於一電漿 處理室中,及光阻層被塗覆於介電質層上。該方法包含引 入一蝕刻劑氣體源至電漿處理室,其基本上包含CxFy氣 體及氮氣。該方法更包含敲擊來自蝕刻劑氣體源之電漿處 i ^ 理室中之電漿。該方法另包含以電漿蝕刻至少介電質層之 一部份,以穿過一在介電質層下之基層。 於另一實施例之中,一種用以改良一介電質層至一光 阻層之選擇性之方法被揭示。該方法被執行於一電漿處理 室中,以及,光阻層係被塗覆於介電質層上。該方法包含 引入一蝕刻氣體源進入電漿處理室中。該蝕刻氣體係由包 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 7 538479 Λ7 __B7____ 五、發明説明(5 ) 含一 C2F6氣體,C4F8氣體或C3F6氣體及一氮氣及 氬氣之群組中選出。該方法更包含衝擊於電漿處理室中之 來自蝕刻氣體源之電漿。該方法另包含經過該介電質層以 電漿向下蝕刻一高寬高比通孔,至一在介電質層下之基板 〇 較佳地,本發明之實質改良光阻選擇性使得可使用實 質較薄之光阻遮罩以及非等向性蝕刻具有高寬比之通孔, 同時保持良好臨界尺寸(CD)控制成爲可能。於另一實 施例中,本發明化學之高選擇性有利地使精確蝕刻穿過各 種深度之介電質層而不會過量地除去在介電質層下之材料 成爲可能。本發明之這些及其他優點將讀取以下之詳細說 明及圖式而變得更明顯。 圖式簡單說明= 本發明之其他優點可以藉由參考以下之詳細說明配合上附 圖而變得更易了解。 經濟部中央標準局員工消費合作社印衷 (請先閲讀背面之注意事項再填寫本頁) 第1 A圖爲具有各種傳統沉積及蝕刻層之半導體晶圓之剖 面圖。 第1 B圖爲依據本發明之一實施例之具有一處理室之電漿 蝕刻系統之示意圖。 第2圖爲一半導體晶圓之剖面圖,其展現出一依據本發明 之實施例蝕刻穿過一介電質層之例示選擇性。 第3圖爲一半導體晶圓之剖面圖,其具有一依據本發明之 一實施例之穿過一介電質層之選擇蝕刻接觸孔。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -8 - 538479 Λ7 _ B7 五、發明説明(6 ) 第4圖爲一半導體晶圓之另一剖面圖,其具有一依據本發 明之一實施例之穿過介電質層之選擇性蝕刻自對準 通孔。 第5圖爲一流程圖,描述出用以執行依據本發明之一實施 例之高選擇性電漿蝕刻操作之較佳方法操作。 經濟部中央標準局員工消費合作社印製 (锖先閱讀背面之注意事項再填寫本頁) 圖號對照說明= 1 0 半 導 體 晶 圓 1 2 擴散區域 1 4 通 孔 1 6 介電質層 1 8 光 阻 層 2 0 閘極氧化層 2 2 多 晶 矽 閘 2 4 間隔層 1 0 〇 電 漿 蝕刻 系 統 1 0 1 處理室 1 〇 2 底 電 極 1 0 4 上電極 1 0 6 晶 圓 1 0 8 石英侷限環 1 1 0 輸 入 氣 體 化 學物 1 12 R F匹配網路 1 1 4 射 頻 源 1 1 6 地端 1 2 0 積 體 電 路晶 片 1 2 2 泵網路 1 2 4 V A T 閥 1 2 6 牽引泵 2 0 0 半 導 體 晶 圓 2 0 2 二氧化矽層 2 0 4 多 晶 矽 層 2 0 6 矽化鈦層 2 0 8 介 電 質 層 2 1 0 光阻層 3 0 2 閘 極 氧 化 層 3 0 4 多晶矽閘 3 0 6 間 隔 層 3 1 0 擴散區域 3 2 0 接 觸 孔 4 0 2 氮化砂層 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -9- 538479 Λ7 B? 五、發明説明(7 ) 420 自對準接觸 發明詳細說明: 一種用以改良蝕刻化學,以選擇性地蝕刻穿過一光阻 遮罩介電質層之選定區域之發明係加以說明。於以下之說 明中,各種之特定細節已經加以說明,以提供對本發明之 全盤了解。然而,明顯地,熟習於本技藝者可知本發明可 以在不利用部份或全部細節下加以實施。於其他實施例中 ,習知之處理步驟並未詳細說明,而不會不必要地限制本 發明。 第1 B圖示出一依據本發明之實施例之電漿蝕刻系統 100,其包含一處理室101。處理101大致包含底 電極102及一上電極104,其同時作動爲一噴氣器, 用以允許輸入氣體化學品1 1 0進入處理室1 0 1 ,於底 經濟部中央標準局員工消費合作社印製 極1 0 2及上電極1 0 4間之一位置。一般說來,上電極 104包含一石英侷限環108,其包圍一邊緣,該邊緣 是在上電極1 0 4之下。以此方式’石英侷限環1 〇 8是 直接放在晶圓1 0 6上,該晶圓係放置在底電極1 〇 2 ( i * 即夾盤)之上。 處理室1 0 1因此創建一雙頻平行板處理配置,其中 ,一第一射頻(RF )源1 0 4 a係經由一 RF匹配網路 1 1 2 a連接至上電極1 04。同樣地,底電極1 〇 2係 經由一第二RF匹配網路112b連接至一第二rf源 1 14b。再者,每一 RF源1 14a及1 14b於一端 -10- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央標準局員工消費合作社印裝 538479 A7 B7 五、發明説明(8 ) 係連接至地端1 1 6。 於操作中,處理室1 0 1可以經由一高導泵網路 1 2 2排出處理氣體,該網路連接至一 VAT閥1 2 4。 VAT閥1 2 4然後連接至一牽引泵1 2 6,其協助導通 處理氣體至一合適儲存單元(未示出)。於一實施例中, 晶圓1 0 6係受到若干之處理操作,包含執行於處理室 1 01中之高選擇性蝕刻,其使得多數半導體晶粒製造成 爲可能。半導體晶粒順序地被封裝,以產生多數封裝之積 體電路晶片。於一實施例中,處理室1 〇 1可以是一 L am硏究虹4 5 2 0 XL處理室,其可以由美國加州佛 蒙之蘭姆硏究公司購得。當然,其他合適安排之處理室也 可以用以完成本發明之高選擇性蝕刻操作。 藉由例示,本發明可以被實施於若干其他合適安排之 處理室中,其經由電容耦合平行電極板,電子迴旋振盪( E CR)微波電漿源,及電壓器耦合電漿(TC P )傳送 能量至電漿。於其他系統間之E C R及T C P電漿處理系 統是可由美國加州佛蒙之蘭姆硏究公司購得。其他合適之 處理室之例子包含一電感電漿源(IPS),一解耦合電 漿源(DPS)及一偶極子環磁鐵(DRM) 。IPS及 D P S電漿處理系統係可由加州聖塔卡拉之應用材料公司 購得。D RM源電漿處理設備可由日本之東京電子有限公 司購得。 第2圖是一半導體晶圓2 0 0之剖面圖’其展現若干 依據本發明之一實施例之製造層。如所示,一二氧化矽( 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) --------1ΦΙ— » · (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印裝 538479 A7 ____B7_ 五、發明説明(9 ) S i O2)層2 0 2係首先熱成長於半導體晶圓2 0 0之表 面上。雖然二氧化矽層2 〇 2之厚度改變,但一較佳厚度 約1 0 0 0埃。再者,一具有一例示性厚度約3 0 0 0埃 之多晶矽2 0 4係沉積於二氧化矽層2 0 2之上。一旦多 晶矽層2 0 4係沉積至一合適厚度,一矽化鈦(T i S i 2 )層2 0 4係形成至一約1 〇 〇 〇埃之厚度。 一介電質層2 0 8係然後沉積於矽化鈦層2 0 6上至 約5 0 0 0埃至約2 0 〇 〇 〇埃之厚度或更大。於一實施 例中,介電質層2 0 8材料可以是若干已知摻雜或未摻雜 ,其係被共同用於半導體裝置之圖案導電層間之絕緣層。 藉由例示,介電質層208可以是二氧化矽(S i〇2)層 ,一硼磷矽玻璃(B P SG)層,一原矽酸四乙酯( T E 0 S ),磷矽玻璃(PSG)層等。一旦,介電質層 2 0 8已經被沉積至一合適厚度,一阻層2 1 0係旋塗至 一約5 0 0 0埃至約1 0 〇 〇 〇埃之厚度。 再者,光阻層2 1 〇係於一微影製程中作選擇曝光, 其實行一網版步進機,用以作出光阻層2 1 〇之圖案。在 曝光顯影後,一圖案光阻層2 1 0將保留,使得蝕刻將發 生向下之未被光阻層2 1 〇所覆蓋之區域。於此例子中, 當一選擇電漿蝕刻操作係依本發明加以執行時,光阻層 2 1 0係被作出圖案,使得一通孔可以被蝕刻經過該介電 質層2 0 8。 蝕刻操作係較佳地執行於第1 B圖之電漿蝕刻系統 1 0 0之中,同時,利用描述於第1 A至1 C圖間之發明 --------!#—1 (請先閲讀背面之注意事項再填寫本頁)
*1T 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公瘦) -12- 538479
7 B 五、發明説明(10 ) 化學物。於此實施例中,發明化學物係較佳爲一CxFy/ N2/A r混合物,其中X範圍由1至4之間,y範圍由1 至8之間。另外,氬(A I·)較佳係被用作爲一用於發明 化學氣體之稀釋劑,使得對於表A至C之例子中’ A r之 流速是於約0至4 0 0 s c c m之間’較佳地’於1 0 0 至300 s c cm之間,最好是約200 s c cm。雖然 ,以下所提供之參數較佳係相關於一 8吋晶圓之製造’但 是其他大小及尺寸也可適用,例如使用於製造半導體裝置 其平板顯示器,也可以由發明之蝕刻化學製程而完成改良 之選擇性。
表A 例示触刻化學 C2F6/N2/Ar 範圍 上功率(瓦) 底功率 流速(seem) 壓力 溫度 (瓦) 毫托耳 °C 適當範 500-1500 1000- 2-20 10-100 15-100 -20· 圍 2500 C2F6 N2 50 較佳範 700-1200 1500- 4-10 20-70 20-60 15-40 圍 2200 C2F6 N2 最佳範 900 2000 6 30 30 30 圍 C2F6 N2 經濟部中央標準局員工消费合作社印装 如於表A中所示,一較佳化學物是C2F6/N2/ A γ ,其中最佳蝕刻程序包含調整上功率於約9 0 0瓦, 底功率約2000瓦’ C2F6之流速約6 s c cm,氮之 -13- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 538479
A B 五、發明説明(11 ) 流速約30 s c cm ’壓力是約30毫托耳,以及,溫度 是於3 0 〇C。 表B 例示蝕刻化學 C4Fs/N2/Ar 範圍 上功率(瓦) 底功率 (瓦) 流速(seem) 壓力 毫托耳 溫度 °C 適當範 500-1500 1000- 2-15 10-150 15-100 •20- 圍 2500 C4F8 N2 50 較佳範 700-1200 1500- 3-8 20-70 20-60 15-40 圍 2200 C4F8 N2 最佳範 900 2000 6 60 25 30 圍 C4F8 N2 (請先閲t*·背面之注意事項再填寫本頁) 如於表B中所示,一較佳化學物是C4F8/N2/ 經濟部中央標準局貝工消費合作社印裂 A γ,其中最佳蝕刻程序包含調整上功率於約9 0 0瓦, 底功率約2000瓦,C4F8之流速約6 sc cm,氮之 流速約60 s c cm,壓力是約30毫托耳,以及,溫度 是於3 0 °C。 -14 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 538479 A7 B7 五、發明説明(彳2 ) 表C 例示蝕刻化學 C3F6/N2/Ar 範圍 上功率(瓦) 底功率 流速(seem) 壓力 溫度 (瓦) 毫托耳 °C 適當範 500-1500 1000- 2-15 10-100 15-100 -20- 圍 2500 C3F6 N2 50 較佳範 700-1200 1500- 3-8 20-70 20-60 15-40 圍 2200 C3F6 N2 最佳範 900 2000 5 40 30 30 圍 C3F6 N2 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印裝 如於表C中所示,一較佳化學物是C3F6/N2/ A r ,其中最佳蝕刻程序包含調整上功率於約9 0 0瓦, 底功率約2000瓦,CsFe之流速約5 s c cm,氮之 流速約30 s c cm,壓力是約30毫托耳,以及,溫度 是於3 0 0C。 藉由實施上述較佳化學物成爲於第1B圖中之輸入氣 體化學物1 1 0,可得到一實際改良蝕刻操作,該操作展 4 i 現一於光阻層2 1 0及介電質層2 0 8間之高選擇性。換 句話說,對於“介電質層”至“光阻層”,完成了於約7 :1至約10 : 1之較佳蝕刻比率。因此,介電質層可以 蝕刻至1 0倍快於光阻層2 1 0。 以此實際改良之選擇性看來,於通孔及接觸孔之蝕刻 中所常見之具有高寬高比(例如R24 )之裝置,可以被 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -15- 538479 A 7 B7 五、發明説明(13 ) 蝕刻以具有優良之臨界尺寸(CD )控制。於第2圖之例 子中,本發明之上述化學物同時相對於在介電質層2 0 8 下之基層提供一改良選擇性。因此,當通孔2 2 0被蝕刻 向下穿過介電質層2 0 8時,實質上除去較少之下層矽化 鈦層2 0 6。雖然,一矽化鈦層2 0 6已經被用作在介電 質層2 0 8下之例示層,但本發明之化學物同時也高敏感 於其他在介電質層2 0 8下之材料。例如,此等材料可以 包含氮化鈦(TiN),矽化鎢(WSi2),氮化矽( S i N ),多晶矽,矽及金屬化物包含鋁/銅混合物。 第3圖是一半導體晶圓2 0 0之剖面圖,晶圓具有一 半導體裝置依據本發明之一實施例製造於其上。於此例子 中,一蝕刻操作同時較佳地形成於第1 B圖之電漿蝕刻系 統1 0 0之中,利用本發明於表A至C中所述之化學物。 所不之半導體裝置具有一阐氧化層3 0 2,一多晶砂鬧 3 04,間隔層306.,及擴散區3 10。沉積於該半導 體裝置上的是一介電質層2 0 8,其可以被沉積至一約 5000埃至約20000埃之厚度。 經濟部中央標準局貝工消費合作社印装 一旦介電質層2 0 8被沉積至一適當厚度,一光阻層 2 1 0係被旋塗並作出圖案在介電質層2 0 8之表面上。 如上所述,光阻層2 1 0係較佳應用至約5 0 0 0埃至 1 0000埃間之厚度。在光阻層2 1 0被作出圖案以外 露出接觸孔下至多晶矽閛3 0 4及擴散區域3 1 0被定義 之區域,半導體晶圓2 0 0係被放置入電漿蝕刻系統 1 0 0於底電極1 0 2之上。再者,發明蝕刻化學物係應 -16- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 538479
7 7 A B 五、發明説明(14 ) 用至該室,及壓力及溫度在供電電漿蝕刻系統1 0 0之前 即已穩定。如同於上述例子中所示,蝕刻操作係高選擇性 操作,並選擇光阻層210,下層多晶矽閘304及擴散 區域3 1 0。 於此方式,向下導引至多晶矽閘3 0 4及擴散區域 3 1 0之接觸孔3 2 0 a將被形成,而不會過量蝕刻多晶 矽閘3 0 4,或過量蝕刻光阻層2 1 0。以下之表D例示 出所完成之於介電質層2 0 8及若干典型在介電質層 2 0 8下之例示材料間之改良蝕刻選擇性。
表D 材料 用於介電至材料 之選擇性範圍 用於介電至材料 近似選擇性 矽化鈦 20:1 至 100:1 40:1 矽化鎢 20:1 至 100:1 40:1 多晶矽 20:1 至 100:1 50:1 矽(摻雜/未摻雜) 20:1 至 100:1 50:1 氮化鈦 20:1 至 100:1 40:1 氮化矽 10:1 至 40:1 20:1 鋁/銅 20:1 至 100:1 50:1 經濟部中央標準局員工消費合作社印褽 (讀先閲讀背面之注意事項再填寫本頁) 因爲,介電質層至光阻層之改良選擇性被完成’所以 現在有可能於裝置中較深之接觸/通孔,同時有利地保留 足夠之光阻用於C D控制。因此,發明化學物提供一用於 高寬高比應用之解答。另外,因爲本發明之化學物相較於 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -17- 538479 A7 B7 五、發明説明(15 ) 傳統單氧化碳基礎之化學物(例如CxFy/CO化學物) 係爲無毒,所以蝕刻操作可以更具有環保槪念。 第4圖是一半導體2 0 0之另一剖面圖,半導體 2 〇 〇具有一半導體裝置依據本發明之一實施例製造於其 上。於此例子中,一自對準接觸(SAC)孔42 0係蝕 刻下至一氮化矽(S i 3N4)層402。於此例子中,氮 化矽層4 0 2較佳地使用已知C VD處理形成。一旦氮化 矽層4 0 2形成於半導體裝置上,介電質層2 0 8係沉積 至一合適之厚度約於500埃至20000埃之間。如同 於先前之例子中,一範圍由約5 0 0 0埃至1 0 0 0 0埃 之光阻層2 1 0係被施加至介電質層2 0 8之上。光阻層 2 0 8係然後被作出圖案,以外露出通孔4 2 0將最後被 蝕刻之區域。 經濟部中央標準局員工消費合作社印策 (锖先閱讀背面之注意事項再填寫本頁) 在光阻層2 1 0被作出圖案後,半導體晶圓2 0 0係 被放置入電漿蝕刻系統1 0 0之中並受到選擇蝕刻化學物 。因此,SAC孔420係定義穿過208下至氮化矽層 4 0 2,而不會過量地除去矽化鈦並防止除去過量之光阻 層 2 1 0。 έi 第5圖是一流程圖5 0 0,描述用以依據本發明之一 實施例之高選擇性蝕刻操作之較佳方法操作。該方法開始 於操作5 0 2,其中提供有一具有被指明用以蝕刻之一層 之半導體晶圓。較佳地,被指用以鈾刻之一層是一介電質 層,其被沉積至一合適厚度,範圍約5 0 0 0埃至約 2 0 0 0 0埃之間。 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ297公釐) _ 538479 A7 B? 五、發明説明(16 ) 然後,該方法進行至一操作504,其中一光阻罩係 被施加至一指定層上,以定義蝕刻位置。如上所述,光阻 罩較佳被作出圖案以定義在蝕刻操作後被形成之通孔,接 點,自對準接觸或溝渠之位置。一旦光阻罩被施加於步驟 504中,方法進行至一步驟506,其中半導體晶圓係 放置入電漿處理室。再者,發明氣體化學物被施加入電漿 處理室並允許穩定至一合適溫度及壓力。於一實施例之中 ,壓力係穩室於約1 5至1 0 0毫托耳,溫度係穩定於 1 0 至 5 0 °C。 一旦電漿處理室被穩定方法將進行至步驟5 1 0,其 中電漿處理室之電極被供電至如表A至C所述之功率位準 。例如,相關於電極1 0 4之上功率較佳係被供電至約 5 0 0至1 5 0 0瓦之間。有關於底電極1 0 2之底電力 較佳被供電至約1 0 0 0瓦至2 5 0 0瓦之間。 經濟部中央標準局員工消费合作社印装 (請先閲請背面之注意事項再填寫本頁) 一旦通電,該方法進行至步驟5 12,其中例示於第 2至4圖之選擇蝕刻係執行穿過指定於步驟5 0 2中之層 。一旦蝕刻完成,方法進行至步驟514,其中傳統之後 蝕刻處理係被執行。此後,完成之晶圓可以被切割成晶粒 ,然後,被作成I C晶片。所得之I C晶片,例如於第 1 B圖之I C晶片2 2 0,可以倂入於一例如已知之商用 或消費者電子裝置中,包含數位電腦。一旦封裝完成,方 法即完成。 雖然,本發明已經以幾項較佳實施例加以說明,其中 各種之修改,替換及等效係落於本發明之範圍內。應注意 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇X297公釐) -19- 538479 A7 B7 五、發明説明(17 ) 的是有很多方法執行本發明之方法及設備。因此’以下之 申請專利範圍係用以解釋包含所之修改,替換及等效係落 於本發明之精神及範圍之中。 (讀先閲讀背面之注意事項再填寫本頁) 經濟部中央標隼局員工消費合作社印製 -20- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)

Claims (1)

  1. 538479 A8 B8 C8 D8 f
    六、申請專利範圍 附件A: 第87 1 1 2650號專利申請案 中文申請專利範圍修正本 民國91年12月18日修正 1·一種於電漿處理室中改良介電層對光阻層及對基層選 定擇性的方法,其中該光阻層係塗覆於該介電層上,該方法包 含步驟: 將一蝕刻劑源氣體引入該電漿處理室中,該蝕刻源氣體 係由包含C2F6氣體、C4f8氣體及C3F6氣體及N2氣體構成之 群組中選出; 由鈾刻劑源氣體碰撞於電漿處理室中之電漿; 以該電漿蝕刻該介電層之至少部份並穿過至介電層下 方的基質; 當由導孔洞及接觸孔洞群組構成之洞係經由穿過介電 層加以界定,而未過量去除光阻層或基層時,造成了介電層對 光阻層產生至少約(7 :1)之蝕刻率選擇性。 2 ·如申請專利範圍第1項所述之改良介電層對光阻層及 對基層選擇性的方法,更包含步驟: 以每分鐘10至150標準立方公分(sccm)之流速流入n2 氣體。 3.如申請專利範圍第1項所述之改良介電層對光阻層 及對基層選擇性的方法,更包含步驟: 以每分約2至12標準立方公分(sccm)的流速流入 本紙張尺度適用中國國家標準(CNS ) A4規格(21(^297公着) -- • * (請先閲讀背面之注意事項再填寫本頁) 、?Τ 經濟部智慧財產局員工消費合作社印製 538479 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 C2F6氣體。 4 ·如申請專利範圍第1項所述之改良介電層對光阻層 及對基層選擇性的方法,更包含步驟: 以每分約2至1〇標準立方公分(sccm)的流速流入 C4F8氣體。 5·如申請專利範圍第1項所述之改良介電層對光阻層 及對基層選擇性的方法,更包含步驟: 以每分約2至1〇標準立方公分(SCCm)的流速流入 C3F6氣體。 6.如申請專利範圍第2項所述之改良介電層對光阻層及 對基層選擇性的方法,更包含步驟: 流入氬(Αι·)氣體以每分鐘〇至400標準立方公分(seem) 之流速進入電漿處理室中。 7 ·如申請專利範圍第6項所述之改良介電層對光粗層. 及對基層選擇性的方法,其中該在介電層下方之基層係由矽 化鈦(TiSi2)層、氮化鈦(TiN)層、矽化鎢(WSi2)層、矽層、 多晶矽層、氮化矽(Si3N4)層及一鋁/銅(Al/Cu)層構成之群組 中所選出。 8.如申請專利範圍第7項所述之改良介電層對光阻層 及對基層選擇性的方法,更包含以下步驟之一: 對介電層對矽化鈦層,產生至少約(40:1 )之蝕刻率選擇 性; 對介電層對矽化鎢層,產生至少約(40:1 )之蝕刻率選擇 性; 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -2 - ^ 訂 (請先聞讀背面之注意事項再填寫本頁) 538479 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 __ 六、申請專利範圍 對介電層對矽層,產生至少約(50:1 )之鈾刻率選擇性; 對介電層對多晶矽層,產生至少約(50:1)之蝕刻率選擇 性; 對介電層對氮化矽層,產生至少約(20M )之鈾刻率選擇 性;及 對介電層對鋁/銅層,產生至少約(50:1)之蝕刻率選擇 性。 9. 一種在電漿處理室中改良介電層對光阻層及對基層 選擇性的方法,其中該光阻層係塗覆於該介電層上,該方法包 含步驟: 將一蝕刻源氣體引入該電漿處理室中,該蝕刻氣體係由 C2F6氣體、C4F8氣體或C3F6氣體、及N2氣體及一 Ar氣 體所構成之群組選出; 由蝕刻源氣體碰撞在電漿處理室中之電漿; 以電漿下加至介電層下的基層,而蝕刻穿過介電層中之 高深寬比導孔,並對介電層對光阻層,產生至少約(7 :1 )的蝕 刻率選擇性。 10. 如申請專利範圍第9項所述之改良介電層對光阻層 及對基層選擇性的方法,更包含選擇以下之一氣體流速步驟: 以每分約2至12標準立方公分(seem)的流速流入 C 2 F 6氣體; 以每分約2至8標準立方公分(seem)的流速流入C4F8 氣體;及 以每分約2至10標準立方公分(seem)的流速流入 本#^尺度適用中國國家標準(〇叫人4胁(210\297公嫠) "' ----------- * * (請先閲讀背面之注意事項再填寫本頁) 訂 538479 A8 B8 C8 D8 々、申請專利範圍 C 3 F 6氣體。 1 1 .如申請專利範圍第1 0項所述之改良介電層對光阻 層及對基層選擇性的方法,更包含步驟: 以每分約10至100標準立方公分(seem)的流速流入 N2氣體。 1 2 .如申請專利範圍第1 1項所述之改良介電層對光阻 層及對基層選擇性的方法,更包含步驟: 以每分約〇至400標準立方公分(seem)的流速將氬(Ar) 氣體流入電漿處理室中。 · (請先聞讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -4- 本紙張尺度適用中國國家榇準(CNS ) A4規格(210X297公釐)
TW087112650A 1997-08-28 1998-07-31 Methods and apparatus for selective plasma etch TW538479B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/919,659 US6090304A (en) 1997-08-28 1997-08-28 Methods for selective plasma etch

Publications (1)

Publication Number Publication Date
TW538479B true TW538479B (en) 2003-06-21

Family

ID=25442429

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087112650A TW538479B (en) 1997-08-28 1998-07-31 Methods and apparatus for selective plasma etch

Country Status (6)

Country Link
US (1) US6090304A (zh)
EP (1) EP1012877A1 (zh)
JP (1) JP2001514447A (zh)
KR (1) KR100563969B1 (zh)
TW (1) TW538479B (zh)
WO (1) WO1999010923A1 (zh)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6423646B1 (en) * 1998-06-04 2002-07-23 Vanguard International Semiconductor Corporation Method for removing etch-induced polymer film and damaged silicon layer from a silicon surface
JP3241020B2 (ja) * 1999-03-26 2001-12-25 日本電気株式会社 半導体装置の製造方法
JP2002270586A (ja) * 2001-03-08 2002-09-20 Tokyo Electron Ltd 有機系絶縁膜のエッチング方法およびデュアルダマシンプロセス
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
JP2002319551A (ja) * 2001-04-23 2002-10-31 Nec Corp 半導体装置およびその製造方法
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
TW567554B (en) * 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US6686293B2 (en) 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
KR20030096832A (ko) * 2002-06-18 2003-12-31 동부전자 주식회사 반도체 소자의 절연막 식각 방법
US7541270B2 (en) 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7098141B1 (en) 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
JP2004296835A (ja) * 2003-03-27 2004-10-21 Applied Materials Inc ダマシン構造を形成する方法
US6949460B2 (en) * 2003-11-12 2005-09-27 Lam Research Corporation Line edge roughness reduction for trench etch
US7521362B2 (en) * 2003-12-23 2009-04-21 Lam Research Corporation Methods for the optimization of ion energy control in a plasma processing system
US7517801B1 (en) 2003-12-23 2009-04-14 Lam Research Corporation Method for selectivity control in a plasma processing system
US20050153563A1 (en) * 2004-01-14 2005-07-14 Lam Research Corporation Selective etch of films with high dielectric constant
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
US20060043066A1 (en) * 2004-08-26 2006-03-02 Kamp Thomas A Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US8257501B2 (en) * 2005-03-30 2012-09-04 Panasonic Corporation Plasma doping device with gate shutter
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8263498B2 (en) * 2006-03-28 2012-09-11 Tokyo Electron Limited Semiconductor device fabricating method, plasma processing system and storage medium
US8635971B2 (en) * 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US8844106B2 (en) 2011-11-10 2014-09-30 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US8677586B2 (en) 2012-04-04 2014-03-25 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
DE102012106518A1 (de) * 2012-07-18 2014-01-23 H2 Solar Gmbh Beschichtung von Substraten mit Siliciden und deren Oxide
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US9502279B2 (en) 2013-06-28 2016-11-22 Lam Research Corporation Installation fixture having a micro-grooved non-stick surface
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
US9583377B2 (en) 2013-12-17 2017-02-28 Lam Research Corporation Installation fixture for elastomer bands
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
CN117153656A (zh) 2016-01-13 2023-12-01 应用材料公司 用于蚀刻硬件的基于氢等离子体的清洗工艺
JP7228989B2 (ja) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 載置台、エッジリングの位置決め方法及び基板処理装置
WO2021217056A1 (en) * 2020-04-23 2021-10-28 Akash Systems, Inc. High-efficiency structures for improved wireless communications

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54125979A (en) * 1978-03-24 1979-09-29 Hitachi Ltd Manufacture of semiconductor device
US4267012A (en) * 1979-04-30 1981-05-12 Fairchild Camera & Instrument Corp. Process for patterning metal connections on a semiconductor structure by using a tungsten-titanium etch resistant layer
JPS56111222A (en) * 1980-01-31 1981-09-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Dry etching method on silicon nitride film
JPS5860611A (ja) * 1981-10-06 1983-04-11 Canon Inc 非晶質水素化シリコンのドライエツチング方法
US4412885A (en) * 1982-11-03 1983-11-01 Applied Materials, Inc. Materials and methods for plasma etching of aluminum and aluminum alloys
US4740485A (en) * 1986-07-22 1988-04-26 Monolithic Memories, Inc. Method for forming a fuse
JPS63230889A (ja) * 1987-03-20 1988-09-27 Toshiba Corp 基板の製造方法
US4878994A (en) * 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
JPH01214025A (ja) * 1988-02-22 1989-08-28 Nec Yamagata Ltd 半導体装置の製造方法
DE3842758A1 (de) * 1988-12-19 1990-06-21 Siemens Ag Verfahren zum aetzen einer dreilagigen verdrahtungsebene bei der herstellung integrierter halbleiterschaltungen
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4980018A (en) * 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
US5217570A (en) * 1991-01-31 1993-06-08 Sony Corporation Dry etching method
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5296094A (en) * 1992-06-12 1994-03-22 Intel Corporation Process for etching silicon dioxide layer without micro masking effect
KR100293830B1 (ko) * 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
US5326427A (en) * 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5468339A (en) * 1992-10-09 1995-11-21 Advanced Micro Devices, Inc. Plasma etch process
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
JPH0774156A (ja) * 1993-08-31 1995-03-17 Nec Corp 半導体装置の製造方法
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP2809087B2 (ja) * 1994-02-15 1998-10-08 日本電気株式会社 配線形成方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5620615A (en) * 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
US5496762A (en) * 1994-06-02 1996-03-05 Micron Semiconductor, Inc. Highly resistive structures for integrated circuits and method of manufacturing the same
US5514247A (en) * 1994-07-08 1996-05-07 Applied Materials, Inc. Process for plasma etching of vias
US5935877A (en) * 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
US5910453A (en) * 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US6004884A (en) * 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5719089A (en) * 1996-06-21 1998-02-17 Vanguard International Semiconductor Corporation Method for etching polymer-assisted reduced small contacts for ultra large scale integration semiconductor devices
US5668038A (en) * 1996-10-09 1997-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. One step smooth cylinder surface formation process in stacked cylindrical DRAM products
JPH10125654A (ja) * 1996-10-21 1998-05-15 Sharp Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
WO1999010923B1 (en) 1999-05-14
WO1999010923A1 (en) 1999-03-04
US6090304A (en) 2000-07-18
KR100563969B1 (ko) 2006-03-29
EP1012877A1 (en) 2000-06-28
JP2001514447A (ja) 2001-09-11
KR20010023462A (ko) 2001-03-26

Similar Documents

Publication Publication Date Title
TW538479B (en) Methods and apparatus for selective plasma etch
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
TW546737B (en) Method of plasma etching organic antireflective coating
TW546722B (en) Method of plasma etching low-k dielectric materials
US6083844A (en) Techniques for etching an oxide layer
US7166535B2 (en) Plasma etching of silicon carbide
US5935877A (en) Etch process for forming contacts over titanium silicide
US7361607B2 (en) Method for multi-layer resist plasma etch
US7265060B2 (en) Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
TW200823998A (en) Self-aligned contact etch with high sensitivity to nitride shoulder
JPH03291921A (ja) 集積回路製作方法
TW589403B (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
TW399240B (en) Self-aligned contacts for semiconductor device
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
KR100669560B1 (ko) 반도체 소자의 도전 배선 형성 방법
TW502334B (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
US6869885B1 (en) Method for a tungsten silicide etch
TW478064B (en) Method of plasma etching a polysilicon layer through a patterned SiO2 layer
JPH09129729A (ja) 接続孔の形成方法
JPH1167731A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees