TW505995B - A method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials - Google Patents

A method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials Download PDF

Info

Publication number
TW505995B
TW505995B TW090111538A TW90111538A TW505995B TW 505995 B TW505995 B TW 505995B TW 090111538 A TW090111538 A TW 090111538A TW 90111538 A TW90111538 A TW 90111538A TW 505995 B TW505995 B TW 505995B
Authority
TW
Taiwan
Prior art keywords
layer
gate electrode
group
scribed
angstroms thick
Prior art date
Application number
TW090111538A
Other languages
English (en)
Inventor
Ravi Sundaresan
Yang Pan
Yong Meng James Lee
Ying Keung Leung
Yelehanka Ramachandram Pradeep
Original Assignee
Chartered Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Mfg filed Critical Chartered Semiconductor Mfg
Application granted granted Critical
Publication of TW505995B publication Critical patent/TW505995B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28105Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

505995 五、發明說明(1) 【發明之領域 本發明一般而言係有關於形成積體電路電晶體,並且 更特別地是有關一種用於形成控制短通道效應之積體電路 電晶體閘極的方法。 【發明之背景】 深次微米電晶體需要諸如口袋植入(parket implant )等特別的植入,以便控制短通道效應。 美國專利第5,960,270號(Misra等人)說明一種具有
氮化矽間隔物2 3之閘極以及一種諸如金屬之肝J閘極。 天國專利第5,447,874號(Grivna等人)說明一種雙 金屬閘極。 美國專利第5,776,823號(Agnelio等人)說明一 層(WF )閘極 國專利第5,9 6 6,5 9 7號(W r i g h t )以及美國專利| 5,965,911號(j〇〇等人)說明雙材料閘極。 美國專利第6, 05 1,470號(An等人)說明一種雙閘右 電極)其具有一個側邊導電部分、一個中心導電部分, 及形攻於該側邊導電部分與中心導電部分之間的介電質 滿物= 、 【發明之概要】
第8頁 505995 五、發明說明(2) 本發明 閘極材料組 壓之閘極的 其他的 現已發 成。特別地 板部分以及 被形成於該 之部分的經 弟一功函數 形成於該經 鄰接。該閘 成。該内間 其他目 具有暴 個上覆 邊緣上 方。該 > 一個矣 餘部分 一功函 形成閘 藉由使用相異功函數 以製造具肴多重閥電 之另一目的在於提 合(而避免特殊植 方法。 目的將表示如下。 現本發明的上述及 是,一半導體基板 經刻劃層開口的一 經刻劃層所暴露之 暴露基板部分的上 的WF1材料所組成< 刻劃薄層開口之其 電極由一個具有第 隔物與該閘電極將 的可以下列方法完 路出主動區中之該基 經刻劃層。内間隔物 的该經刻劃層開口中 内間隔物由一個具有 1平坦化的閘電極被 中’並與該内間隔物 數的WF2材料所組 電極。 【圖號對照說明】 10 半導體基板 12 淺溝渠隔離(STI ) 14 主動區 16 犧牲氧化層 18 經刻劃層 20 矽基板部分 22 閘極氧化層 24 内間隔物 26 氮化層開口 第9頁 505995 五、發明說明(3) 2 7 暴露邊緣 28肝2閘電極體 3 0 閘電極 【較佳貫施例之細節說明】 習知ϊ ί t別地說明’否則所有的結肖、薄層等皆可藉由 S技*中所熟知的傳統方法被形成或完成。 初始結構 井^^第1圖所示’初始半導體基板1Q可為石夕基板。 1定用开與p井遮罩進行。 3渠隔離(STI)12被形成於石夕基板 主動區1 4於其間。 里η/取 犧=被形成於碎基板10與淺溝渠隔離Κ上。 犧在虱化層16偏好約100至30〇埃的厚度。 經刻劃層的形成 如第2圖所示,犧牲氧化層16被移除。 經刻劃層18被形成於矽基板1〇與 · :約_至3000埃的厚度。經刻劃層以偏: 二戈: 化石夕所組成,ϋ為偏好由氮切所組成。由減石夕或亂 經刻劃層1 8具有暴露出主動區丨4 的開口26。經刻劃層18亦具有位於開,中
閘極氧化層的形成 如第3圖所不,閘極氧化層2 2被形成於開口 2 基板10部分20上。闡炻ft儿^“ 7 閘極虱化物22偏好約1〇至50埃厚,且鉍 為偏好約1 5至2 5埃厚。 車乂 WF1内間隔物的形成 如第3圖所不,呈右笛 ,. -’弟一功函數的一個WF 1材料声 積於經刻劃的氮化声間σ士 啊竹增破沈 ^ 層開口26中,並被蝕刻而形成WF1内門 隔物24於該經刻書ij氮化#! /战”丄円間 ^ a ^ L化層18的暴露邊緣27上。WF1内間隘 物24偏妤具有約2〇〇至i2nn祕隔 μ王ιζυυ埃厚的基座宽廑?7, 好約20 0至40 0埃厚。 !見沒」 且议為偏 F 2閘電極體的形成 户二%二所示有第二功函數的-麵材料層被、'尤 接;以及接著被平坦:(偏:夢:fF1内間隔物24鄰 )’而形成WF2閘電極體28。功曰函干機械拋先(CMP) 名詞=其係為將位於材料之f 為tF'用的半導體物理 或無能量能階所需的能^費“階的-個電子帶至真空 厚,極體28偏好具有約1_至3。。〇埃 烊且丁乂马偏好約1D00至2500埃厚
Ml内間議侧閉電極體2; 一同組成間電極。
505995 五、發明說明(5) ' W F1内間隔物2 4與W F 2閘電極體的組成 PMOS _S WF1 —— IWF2 WF1 WF2 !TaN w Ru〇2 Co !Ti Co Pt Ni_ iTa Ki T[Si2 !Mo TiSi2 丨A1 WSix ilSix i KiSix ! CoSix WN a ! TiNx 下表I說明形成PM0S與NM0S裝置用之閘電極30的該WF1 内間隔物24與WF2閘電極體28的較佳WF1/WF2材料組合。表 I說明組成閘電極30之較佳的WF1/WF2材料組合,其中更為 較佳的材料以(,,_,,)標示。PMOS WF1攔中的任何PM〇s 、,1材料叮被選擇,而與PMqs肝2搁中的任何pmqs肝2材 料結合;以及NMOS WF1攔中的任何NM0S評1材料可被選 擇而與N Μ 〇 S丨丨F 2攔中的任何n Μ 0 S F 2材料結合。 傳统技術可接著被使用於形成整合有根據本發明所製 造之閘電極30的半導體電晶體。
505995 五、發明說明(6) 閘電極30將控制短通道效應,無須形成諸如口袋植入 等特殊的植入,因為該在邊緣附近的相異功函叙將給予一 個較高的局部閥電壓。 本發明的優點包含有: 1. 其與先進的金屬閘極製程相容;以及 2. 其為無須口袋植入的較簡易製程。 雖然本發明的特定實施例已被舉例並說明,但並不希 冀本發明僅被限制於其,而應如下列申請專利範圍所定 義0
第13頁 505995 圖式簡單說明 本發明的特徵及優點將由下列配合附圖的說明而更清 楚地被瞭解,其中相同的參考數字代表相似或相當的元 件、區域與部分,以及其中: 第1圖至第4圖為示意說明本發明之較佳實施例的剖面

Claims (1)

  1. 505995
    1 一種用於形成閘電極的 a)提供一半導體基板, 部分以及經刻劃層開 劃層具有暴露的邊緣 方法,包含的步驟有: 其具有暴露出主動區中之該基板 口的一個上覆經刻劃層;該經刻 b)形成内間隔物於該經刻劃層所暴露之邊緣上之該經刻 劃層開口中之部分的經暴露基板部分的上方;該内間 隔物由一個具有第一功函數的WF1材料所組成;以及 c)形成一個經平坦化的間電極於該經刻劃薄層開口之其餘 部分中,並與該内間隔物鄰接;該閘電極由一個具有第 二功函數的W F 2材料所细士、.分&日日u ^ 7、、且成,該内間隔物與該閘電極將 形成該閘電極。 2.如申請 主動區 3·如申請 矽與氮 材料係 CoSix、 TaN、T 4.如申請 矽與氮 材料係 CoSix ^ 含 Ru02 2 ίΐ第1項之方法’其中該基板包含形成該 的淺溝渠隔離。 專利範圍第1項之古、土 ^ ^ 7 、之方法,其中该經刻劃層由氧化 化石夕組成的族群中;登掘^ μ ^ 砰甲&擇的一薄層所組成;該WF1 ㈣、及TiN的έ日游· X Ni bix u x的組群,以及該WF2材料係選自於 Ta、Mo、及A1的組群。 專利範圍第1項之太、土 # rh ^ 化石夕細成的始、方法,其中该經刻劃層由氧化 且成的族群中選擇的一薄層 :及K 有/、。。,,“二、 、及Pt的組群群;以及讎材料係選自於包 5 ·如申請專利範圍第1項之方法 其中該經刻劃層由氧化
    i號 9Π1Π538 六、申請專利範圍 曰 修正 石夕與氮化矽組成的族群 ^ m ^ ^ 材料係選自於包含有w Λ擇的一薄層所組成;該WF1 C〇Si、WN …、…“、WSix、MSix、 ^Ta; T. fTlNx的組群;以及該WF2材料係選自於包 3TaN、Tl、Ta、M〇、及A1 的組群。 如申請專利範圍第1項之f 甘士 e W & 以及該WF2材料為TaN 其中該經刻劃層由氮化 以及該WF2材料為ru〇2 包含在該内間隔物形成 ^ ,, 貝之方法,其中该經刻劃層由氮化 8 石夕所組成;該WF1材料為TiNx 如申請專利範圍第1項之方丄 矽所組成;該WF1材料為TiSis 如申請專利範圍第1項之方法‘ 牛驟々兑 ^ W. (HJ (m WJ ρχ : 刖,形成一個閘極氧化層於該經刻劃層開口中之 二,板暴露部分上方的步驟;該閘極氧化層具有約丨0至 50埃的厚度。 如申請專利範圍第1項之方法,其中該經刻劃層約1〇〇〇 至3〇 〇〇埃厚,並由氮化矽所組成;該内間隔物24具有約 200至1200埃厚的基座寬度;以及該閘電極體約至 3 0 0 0埃厚。 1〇·如申請專利範圍第1項之方法,其中該經刻劃層約1000 至30 00埃厚,並由氮化矽所組成;該内間隔物24具有約 200至400埃厚的基座寬度;以及該閘電極體約1500至 2500埃厚。 •一種用於形成閘電極的方法,包含的步驟有: a),,一半導體基板,其具有暴露出主動區中之該基板 4刀以及經刻劃層開口的一個上覆經刻劃層;該經刻 層具有暴露的邊緣;
    505995 i號 90111538
    六、申請專利範圍 b)形成一個閘極氧化層於該被暴露的基板部分; C )形成内間隔物於該經刻劃層所暴露之邊緣上之节鋅 劃層開口中之部分的該閘極氧化層的上方;該::: 物由一個具有第一功函數的肝1材料所組成;以及0 d)形成一個經平坦化的閘電極體於該經刻劃薄層 J餘:分中之該間極氧化層上方,並與該内;;物: 该閘電極由一個具有第二功函數的WF2材料 ,該内間隔物與該閘電極將形成該閘電極。'、 Λ申^厂專利範圍第11項之方法’其中該基板包含形成 4主動區的淺溝渠隔離。 战 13.二請專利範圍第11項之方法,其中該經刻割層由氧 wf材Λ切組成的族群中選擇的—薄層所組成;該 肝1材枓係選自於包含有w、c〇、Ni、Tisi2、、仙 x CoSl 、WN、及TiN 的 έ日魏· 包含W、Ti、、M〇U及該肝2材料係選自於 丄a ίο、及Ai的組群。 化石夕^專利範圍第11項之方法,#中該經刻劃層由氧 WF1材料W組成的族群中選擇的一薄層所組成;該 包人右1χ 、及ΤΐΝχ的組群;以及該WF2材料係選自於 巴3有Ru〇2、及pt的組群。 化石/所^s第11項之方法’其中該經刻劃層由氮 。、、、’該WF1材料為ΠΝΧ ;以及該WF2材料為TaN 16·如申請專利範圍第11項之方法,其中該經刻劃層由氮
    ΙΡ®ι im 第17頁 505995 - -塞建一 90111538 车月 日 鉻γρ 六、申請專利範圍 "―"' ~' 化矽所組成;該ffF1材料為TiSi2 ;以及該WF2材料為Ru〇 2 ° 17·、如申請專利範圍第u項之方法,其中該閘極氧化層約 為10至50埃厚;該經刻劃層約1 00 0至3000埃厚,並由氮 $石夕所組成;該内間隔物具有約2〇〇至12〇〇埃厚的基座 寬度;以及該閘電極體約1〇〇〇至3〇〇〇埃厚。 1 8 ·、如申請專利範圍第11項之方法,其中該閘極氧化層約 為15至25埃厚;該經刻劃層約1 00 0至3000埃厚,並由氮 化石夕所組成;該内間隔物具有約2〇〇至4〇〇埃厚的基座寬 度;以及該閘電極體約1 5 0 0至2 5 0 0埃厚。 1 9 · 一種用於形成閘電極的方法,包含的步驟有: a) 提供一半導體基板,其具有暴露出主動區中之該基板 部分以及經刻劃層開口的一個上覆經刻劃層;該經刻 wj層具有暴4的邊緣,該基板包含定義該主動區的淺 溝渠隔離; / b) 形成一個閘極氧化層於該被暴露的基板部分; c) 形成内間隔物於該經刻劃層所暴露之邊緣上之該經刻 劃層開口中之部分的該閘極氧化層的上方·,該内間隔 物由一個具有第一功函數的WF 1材料所組成;以及 e)形成一個經平坦化的閘電極體於該經刻劃薄層開口之 其餘部分中之該閘極氧化層上方,並與該内間隔物鄰 接;該閘電極由一個具有第二功函數的評2材料所組 成;該内間隔物與該閘電極將形成該閘電極。 2〇·如申請專利範圍第1 9項之方法,其中該經刻劃層由氧
    505995 i 號 901
    六、申請專利範圍 化矽與氮化矽組成的族 WF1材料係選自於包含中選擇的一薄層所組成;該 x、c〇Six,LW C〇、Ni、TlSl2、C 包含TaN、Ti、Ta、MX〇、、,、且群,以及該肝2材料係選自於 2 1.如申請專利範圍第1 9項之方^ ’、且^ 化石夕與氮化♦組成的族群選’-中^刻劃層由氧 WF1材料係選自於 中選。擇的-二層所組成;該 X、CoSi、WN、;5TiM 沾 Co、Nl、TlSl2、WSix、NiSi 包含杨g2、及Pt的組群組群;以及該WF2材料係選自於 22·如申請專利籠圜第iq /1 ^ 項之方法,其中該經刻劃層由氧 wn材料ϋ夕白組成^群中選擇的一薄層所組成;該 =包含有W、C〇、Ni、TiSi2HNiSi x包人τΛχ τ及^比的組群;以及該wf2材料係選自於 包含TaN、Ti、Ta、M〇、及八丨的組群。 化如石夕申利範圍第19項之方法,其中該經刻劃層由氮 化矽所組成;該wn材料為TiNx ;以及該WF2材料為TaN 24‘广中請專利範圍第19項之方法,&中該經刻割層由氮 化矽所組成;該WF1材料為TiSi2 ;以及該WF2材料為Ru〇 2 0 •如申凊專利範圍第1 9項之方法,其中該閘極氧化層約 .、、、10至50埃厚;該經刻劃層約1 00 0至3000埃厚,並由氮 化石夕所組成;該内間隔物具有約2〇〇至12〇〇埃厚的基座 寬度;以及該閘電極體約1 000至3 〇〇〇埃厚。
    第19頁 505995
    第20頁
TW090111538A 2001-01-25 2001-05-15 A method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials TW505995B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/768,488 US6300177B1 (en) 2001-01-25 2001-01-25 Method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials

Publications (1)

Publication Number Publication Date
TW505995B true TW505995B (en) 2002-10-11

Family

ID=25082636

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090111538A TW505995B (en) 2001-01-25 2001-05-15 A method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials

Country Status (5)

Country Link
US (1) US6300177B1 (zh)
EP (1) EP1227521A3 (zh)
JP (1) JP2002289852A (zh)
SG (1) SG114518A1 (zh)
TW (1) TW505995B (zh)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6888198B1 (en) 2001-06-04 2005-05-03 Advanced Micro Devices, Inc. Straddled gate FDSOI device
US6630720B1 (en) * 2001-12-26 2003-10-07 Advanced Micro Devices, Inc. Asymmetric semiconductor device having dual work function gate and method of fabrication
US6664153B2 (en) * 2002-02-08 2003-12-16 Chartered Semiconductor Manufacturing Ltd. Method to fabricate a single gate with dual work-functions
JP2003249647A (ja) * 2002-02-25 2003-09-05 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6586808B1 (en) 2002-06-06 2003-07-01 Advanced Micro Devices, Inc. Semiconductor device having multi-work function gate electrode and multi-segment gate dielectric
US7285829B2 (en) * 2004-03-31 2007-10-23 Intel Corporation Semiconductor device having a laterally modulated gate workfunction and method of fabrication
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
KR100724563B1 (ko) 2005-04-29 2007-06-04 삼성전자주식회사 다중 일함수 금속 질화물 게이트 전극을 갖는 모스트랜지스터들, 이를 채택하는 씨모스 집적회로 소자들 및그 제조방법들
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7545007B2 (en) 2005-08-08 2009-06-09 International Business Machines Corporation MOS varactor with segmented gate doping
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US8008144B2 (en) * 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US8860174B2 (en) * 2006-05-11 2014-10-14 Micron Technology, Inc. Recessed antifuse structures and methods of making the same
US20070262395A1 (en) * 2006-05-11 2007-11-15 Gibbons Jasper S Memory cell access devices and methods of making the same
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7838371B2 (en) * 2006-11-06 2010-11-23 Nxp B.V. Method of manufacturing a FET gate
US7781288B2 (en) * 2007-02-21 2010-08-24 International Business Machines Corporation Semiconductor structure including gate electrode having laterally variable work function
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8003463B2 (en) * 2008-08-15 2011-08-23 International Business Machines Corporation Structure, design structure and method of manufacturing dual metal gate Vt roll-up structure
US7824986B2 (en) 2008-11-05 2010-11-02 Micron Technology, Inc. Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US20120112256A1 (en) * 2010-11-04 2012-05-10 Globalfoundries Singapore PTE, LTD. Control gate structure and method of forming a control gate structure
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9568362B2 (en) * 2012-12-19 2017-02-14 Viavi Solutions Inc. Spectroscopic assembly and method
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9041060B2 (en) 2013-07-25 2015-05-26 International Business Machines Corporation III-V FET device with overlapped extension regions using gate last
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US10037919B1 (en) 2017-05-31 2018-07-31 Globalfoundries Inc. Integrated single-gated vertical field effect transistor (VFET) and independent double-gated VFET

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05243564A (ja) * 1992-02-28 1993-09-21 Sharp Corp Mosトランジスタ及びその製造方法
US5356833A (en) * 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5447874A (en) 1994-07-29 1995-09-05 Grivna; Gordon Method for making a semiconductor device comprising a dual metal gate using a chemical mechanical polish
JPH08153700A (ja) * 1994-11-25 1996-06-11 Semiconductor Energy Lab Co Ltd 導電性被膜の異方性エッチング方法
US5576579A (en) 1995-01-12 1996-11-19 International Business Machines Corporation Tasin oxygen diffusion barrier in multilayer structures
KR0147626B1 (ko) 1995-03-30 1998-11-02 김광호 타이타늄 카본 나이트라이드 게이트전극 형성방법
GB9515090D0 (en) * 1995-07-21 1995-09-20 Applied Materials Inc An ion beam apparatus
US5686329A (en) * 1995-12-29 1997-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a metal oxide semiconductor field effect transistor (MOSFET) having improved hot carrier immunity
US5960270A (en) 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US5966597A (en) 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
KR100273273B1 (ko) * 1998-01-19 2001-02-01 김영환 반도체소자의배선,반도체소자및그제조방법
US6051470A (en) 1999-01-15 2000-04-18 Advanced Micro Devices, Inc. Dual-gate MOSFET with channel potential engineering
TW495980B (en) * 1999-06-11 2002-07-21 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
EP1227521A2 (en) 2002-07-31
SG114518A1 (en) 2005-09-28
JP2002289852A (ja) 2002-10-04
US6300177B1 (en) 2001-10-09
EP1227521A3 (en) 2007-01-24

Similar Documents

Publication Publication Date Title
TW505995B (en) A method to form transistors with multiple threshold voltages (VT) using a combination of different work function gate materials
TWI220555B (en) A method to fabricate dual-metal CMOS transistors for sub-0.1 mum ULSI integration
TWI252539B (en) Semiconductor device and manufacturing method therefor
KR100515182B1 (ko) 1t1r 저항성 메모리 어레이의 제조 방법
TWI296831B (en) Semiconductor devices having nitrogen-incorporated active region and methods of fabricating the same
US6770521B2 (en) Method of making multiple work function gates by implanting metals with metallic alloying additives
TW516232B (en) Structure and process integration for producing transistors having independently adjustable parameters
TW201019380A (en) A novel device scheme of HKMG gate-last process
TW200935589A (en) CMOS semiconductor device and method of manufacturing the same
CN101304031B (zh) 电路结构及其制造方法
JP2009278083A (ja) 二重仕事関数半導体デバイスの製造方法及びそのデバイス
JP2008198935A (ja) 絶縁ゲート電界効果トランジスタの製造方法。
TW200826169A (en) Semiconductor device and method for manufacturing same
JP2011509523A (ja) 半導体デバイスを形成する方法
SG182007A1 (en) Methods to form dual metal gates by incorporating metals and theirconductive oxides
TW200935561A (en) Dual work function semiconductor device and method for manufacturing the same
TWI229443B (en) Semiconductor device with dummy structure
TW201005808A (en) Structure and method to control oxidation in high-k gate structures
TW201013847A (en) Method of manufacturing semiconductor device and semiconductor device
TW200913229A (en) Semiconductor device and method of manufacturing the same
TW201001626A (en) Semiconductor device and manufacturing method of the same
TW201005923A (en) Dual metal gate structures and methods
US8269286B2 (en) Complementary semiconductor device with a metal oxide layer exclusive to one conductivity type
TW201013896A (en) Semiconductor integrated circuit device
TW200847293A (en) High-k metal gate devices and methods for making the same

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees