TW490968B - Apparatus for encrypting data and cipher system - Google Patents
Apparatus for encrypting data and cipher system Download PDFInfo
- Publication number
- TW490968B TW490968B TW089109359A TW89109359A TW490968B TW 490968 B TW490968 B TW 490968B TW 089109359 A TW089109359 A TW 089109359A TW 89109359 A TW89109359 A TW 89109359A TW 490968 B TW490968 B TW 490968B
- Authority
- TW
- Taiwan
- Prior art keywords
- block
- data
- encrypted
- encryption
- function
- Prior art date
Links
- 230000006870 function Effects 0.000 claims description 67
- 238000012546 transfer Methods 0.000 abstract description 7
- 238000000034 method Methods 0.000 description 21
- 238000010586 diagram Methods 0.000 description 17
- 230000005540 biological transmission Effects 0.000 description 16
- 230000008569 process Effects 0.000 description 11
- 239000000306 component Substances 0.000 description 8
- 238000013461 design Methods 0.000 description 7
- QXAITBQSYVNQDR-UHFFFAOYSA-N amitraz Chemical compound C=1C=C(C)C=C(C)C=1N=CN(C)C=NC1=CC=C(C)C=C1C QXAITBQSYVNQDR-UHFFFAOYSA-N 0.000 description 6
- 230000002079 cooperative effect Effects 0.000 description 6
- 230000015654 memory Effects 0.000 description 6
- 230000001360 synchronised effect Effects 0.000 description 6
- 239000000872 buffer Substances 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- 238000012360 testing method Methods 0.000 description 4
- 238000004891 communication Methods 0.000 description 3
- 230000000875 corresponding effect Effects 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000001934 delay Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000003786 synthesis reaction Methods 0.000 description 2
- 230000000007 visual effect Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000008358 core component Substances 0.000 description 1
- 239000000284 extract Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 239000013307 optical fiber Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 239000010356 tongguan Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04L—TRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
- H04L9/00—Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
- H04L9/06—Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols the encryption apparatus using shift registers or memories for block-wise or stream coding, e.g. DES systems or RC4; Hash functions; Pseudorandom sequence generators
- H04L9/0618—Block ciphers, i.e. encrypting groups of characters of a plain text message using fixed encryption transformation
- H04L9/0637—Modes of operation, e.g. cipher block chaining [CBC], electronic codebook [ECB] or Galois/counter mode [GCM]
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04L—TRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
- H04L12/00—Data switching networks
- H04L12/28—Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
- H04L12/40—Bus networks
- H04L12/40052—High-speed IEEE 1394 serial bus
- H04L12/40104—Security; Encryption; Content protection
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04L—TRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
- H04L2209/00—Additional information or applications relating to cryptographic mechanisms or cryptographic arrangements for secret or secure communication H04L9/00
- H04L2209/12—Details relating to cryptographic hardware or logic circuitry
-
- H—ELECTRICITY
- H04—ELECTRIC COMMUNICATION TECHNIQUE
- H04L—TRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
- H04L2209/00—Additional information or applications relating to cryptographic mechanisms or cryptographic arrangements for secret or secure communication H04L9/00
- H04L2209/60—Digital content management, e.g. content distribution
Landscapes
- Engineering & Computer Science (AREA)
- Computer Security & Cryptography (AREA)
- Computer Networks & Wireless Communication (AREA)
- Signal Processing (AREA)
- Storage Device Security (AREA)
Description
A7 五、 B7 發明說明(1 發明背景 1 ·發明領域 本發明大體上和宓石民玄& 士 3日 體實施有關。Λ馬系㈣關,且特別是,和密碼的硬 2 ·背景描述 ^内容廣泛地流通於各種電子系統之中,舉例來説例 包月自網路、和用户電子裝置。此内容可能是聲音資料 :影像資料、靜止影像資料、軟體、本文、或可以一種數 ,形式儲存並傳遞給—使用者的任何其他資訊。只要内容 是:種數位形^f内容儲存在—I统的—元件當中、或 者從一兀件傳輸到另一元件時,它可輕易地複製或擷取。 數位内容的開發者和擁有者努力面對與此種設備有關的快 速科技變化,以保護他們内容中的智慧財產權。保護數位 内奋的一種方式是透過密碼的使用。密碼系統可用來編密 數位内容,以便只有經授權的使用者可解密被編密的資料。 各種密碼系統被用來保護數位内容。一種系統是五家公 司(5C)數位傳輸内容保護(DTCp)方案。DTCp方法提供電子 設備的生產者一種簡單而便宜的密碼實施,同時維持一高 度的安全。DTCP方法定義用來保護聲音/影像娛樂内容的 一種密碼協定’當内容通過高效率數位匯流排時免於非法 的複製、攔截和竄改,例如遵照電子電機工程師學會 (ΙΕΕΕ) 1394· 1995標準對一高速效率序列匯流排(ΙΕΕΕ η%) 的匯流排。只有經由相同或另一經核准的複本保護系統傳 送到一電子裝置的合法娛樂内容會被這個複本保護系統所 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -I i^i emt ϋ JrJ a^i ϋ f _線! 經濟部智慧財產局員工消費合作社印製
保護。許多逐漸出現的技術正在利用IEEE 1394高速數位介 面,包括桌上型電腦系統、數位影像光碟(DVD)播放器、 數位電視、和數位隨選視訊接收器。在此種裝置中使用的 簡明DTCP結構允許用户享受高品質數位影像和聲音,而沒 有因内容保護方法而引起的任何値得注意的績效或品質 擊。 、 爲了讓DTCP方法廣泛地被接受,需要可實施内容保護而 不會過度地延遲數位内容的傳輸或對使用者造成不便的低 成本編密和解密元件。目前沒有此種元件存在。本發明的 目的在於克服習知技術的此種缺陷和其他缺點。 發明概要 本發明的一具體實施例是一密碼系統,具有將一般本文 資料編加密碼成爲密碼本文資料之一密碼核心,和連接到 密碼核心以傳遞密碼本文資料到一匯流排之一匯流排介面 。在一具體實施例中,密碼核心包含一區塊組合器來接收 資料的字組和組合那些字組到一區塊中,一編密函數來根 據一編密函數鍵將區塊編密,一區塊發射器來接收編密過 的區塊和分解編密過的區塊成爲編密的資料字組,和一控 制器來控制編密函數對區塊的多重回次編密。 在另一具體實施例中,密碼核心包含一區塊組合器來接 收貧料的字組和組合那些字組到一區塊中,第一和第二編 密函數來根據一編密函數鍵將區塊編密,一區塊發射器來 接收編密過的區塊和分解編密過的區塊成爲編密的資料字 組,一第一控制器來控制第一編密函數對區塊的一第一組 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂: i線· 經濟部智慧財產局員工消費合作社印製 490968 A7
回次的編岔,和一第二控制器來控制第二編密函數對區塊 的一第二組回次的編密。 圖式概述 本發明的特徵和優點由本發明的下列詳述中將會變得顯 而易見,其中: 圖1是舉例説明使用依照本發明一具體實施例的—編密系 統中一密碼的來源和目的裝置之一圖式; 圖2是依照本發明一具體實施例的一來源裝置之元件之間 的資料流之一圖式; 圖3是依照本發明一具體實施例的一内容密碼次系統的一 圖式; 圖4是依照本發明一具體實施例最佳化爲小尺寸的密碼核 心之一圖式; 圖5是依照本發明一具體實施例的小密碼核心之一結構方 塊圖, 圖6是依照本發明一具體實施例的内容鍵載入處理之一波 形圖; 圖7疋依知、本發明一具體實施例的一般本文載入處理之一 波形圖; 圖8是依照本發明一具體實施例的密碼本文卸載處理之一 波形圖; 圖9是依照本發明一具體實施例對速度最佳化的密碼核心 之一圖式;和 圖1 〇是依照本發明一具體實施例的快速密碼核心之一結 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -I n I I ϋ ϋ >eJI I I I n 線! 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 490968 Α7 Β7 五、發明說明(4 ) 構方塊圖。 發明詳述 五家公司(5C)數位傳輸内容保護(DTCP)方法定義用來保 護聲音/影像娛樂内容的一種密碼協定,當它通過數位傳輸 機制例如遵照IEEE 1394- 1995標準的高效率序列匯流排時 ,免於未授權的複製、攔截和竄改。此内容保護系統的一 個元件是實施一種稱爲M6- S56密碼的内容密碼次系統,其 可用來編密/解密通過序列匯流排的内容。這個密碼可用在 轉換的密碼區塊鏈結模態中,其提供比一般密碼區塊鏈結 更大的安全。M6-S56密碼是一種以排列-替換爲基礎的共用 键區塊密碼演算法。本發明的各種具體實施例包含在一編 密模態中運作之M6- S56密碼的内容密碼次系統之實施。然 而,其他具體實施例可實施其他密碼。此外,此處所討論 的内容密碼次系統可修改成提供解碼能力。 本發明的具體實施例包含一内容密碼次系統,具有在此 處稱爲”密碼核心”的一中央密碼元件。本發明的一具體實 施例以一硬體實施中的數個閘最佳化爲小尺寸(π小密碼核 心”)。一第二具體實施例已最佳化爲高生產量(”快速密碼 核心·’)。一第三具體實施例已最佳化爲低噪音運作和容易 合成(π安靜密碼核心’’)。 在説明書中對本發明”一具體實施例”的參考,表示與具 體實施例有關的特定特徵、結構或特性,包含在本發明的 至少一具體實施例中。因此,在説明書的各處出現的”在一 具體實施例”語句,不必然都參照相同的具體實施例。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) _ --線· 490968
經濟部智慧財產局員工消費合作社印製 五、發明說明(5 ) 圖1是舉例説明使用依照本發明一具體實施例的一内容保 護系統1 〇中一密碼的來源和目的裝置之一圖式。一來源裝 置1 2可能由一高速序列匯流排例如一 ieee 1394匯流排1 6 ,連接到一目的裝置1 4,雖然本發明不限定在此範疇中。 來源和目的裝置可能是個人電腦(pCs)、數位錄放影機 CDVCRs)、數位影像光碟播放器、數位電視、電腦監視器 、立體聲設備、隨選視訊盒、或任何能夠儲存、傳送、或 顯示數位内容的電子設備。在來源裝置i 2當中的至少一内 容來源1 8提供稱爲一般本文資料的未編密數位内容。内容 來源1 8可以是一光碟(CD- ROM)、一數位影像光碟(DVD) 、一硬式磁碟機、或任何其他數位儲存媒體。内容可以是 ’舉例來說’影像、音樂、軟體、或任何其他數位資料。 或者’内容來源可以是對一通信裝置的通信介面,例如衛 星、缓線、光纖鏈結、或無線鏈結。一般本文可由内容密 碼次系統2 0接收,其可配置成使用一密碼將一般本文編密 成密碼本文,並將密碼本文在IEEE 1394介面上送出。目的 裝置1 4包含一對應的内容密碼次系統2 2,其可配置成將密 碼本文解碼成一般本文。舉例來説,一般本文可由内容目 的24透過顯示在一監視器上或透過揚聲器產生聲音輸出提 供給一使用者(未顯示)。因此,内容保護系統1 0在元件之 間的傳輸期間保護數位内容。或者,數位内容也可在儲存 於内容來源18上或在内容目的24時,由另一編密方法編矣 ,藉此提供一額外層次的安全。 圖2是依照本發明一具體實施例的一來源裝置之元件之間 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂: -線· 經濟部智慧財產局員工消費合作社印製 490968 A7 B7 五、發明說明(6 ) 的資料流之一圖式。一來源裝置可能包含一處理器3 0和一 記憶體3 2。在一具體實施例中,記憶體包含一動態隨機存 取記憶體(DRAM),雖然也可使用其他記憶體。記憶體可至 少儲存從内容來源所接收的數位内容之一部分。當數位内 容是動晝專家群組(MPEG)資料時^記憶體可儲存封包化的 基本串流(PES)。處理器3 0協調内容密碼次系統2 0的使用 ,並送出一般本文資料和至少一個键到内容密碼次系統。 此鍵可能被内容密碼次系統用來根據一密碼編密一般本文 資料。内容密碼次系統在一同步的鏈結上將一般本文所產 生的密碼本文送到一媒體存取控制器(MAC) 3 4。當編密系 統中使用的匯流排是IEEE 1394匯流排時,MAC包含一 1394 鏈結層控制器。密碼本文資料可能進一步在傳輸到匯流排 上之前,傳遞到一實體層(PHY)介面3 6。當編密系統中使 用的匯流排是IEEE 1394匯流排時,PHY包含一 1394實體層 收發器/仲裁器。控制和狀態訊息,以及認證和键交換 (AKE)資訊,可在一異步鏈結上在處理器3 0和MAC 34之間 傳輸。 内容密碼次系統從處理器3 0接受键、清除資料、和編密 資料訊息。一键訊息設定後續内容編密的键。清除資料訊 息可能省略密碼並轉送到MAC介面3 4而不編密。編密資料 訊息包括要編密的内容。在各種具體實施例中,内容密碼 次系統提供輸入緩衝來吸收密碼潛伏、自動的IEEE 1394封 包化和表頭插入、和内建測試、與執行測量函數。同步的 設計技術使用在具體實施例的整個設計期間,以確保在高 -9- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) A7 A7 經濟部智慧財產局員工消費合作社印製 B7 五、發明說明(7 時脈頻率的可靠運作和可靠的合成。 内容密碼次系統20的每一具體實施例可用作 統1 〇的一核心元件,且可眚竑.4 £ ^ 了實施在—%效可程式閘陣歹, (FKM)、合成可程朗輯裝置(CPLD)、—特定應用積體商 路(ASIC)、或-訂做的數位積體電路中。當使用的密碼: M6-S56密碼時,在此處所揭露的每—具體實施例執行: M6數位Θ容保護規格,1998年十月8日第i册,修訂版㈣ 三和I"8年十月2日第2册,修訂版〇Μα所定義,轉換的 法、碼區塊鏈結(C-CBC)模態中M6-S56密碼操作的所有函數 ,雖然本發明不限制在此範疇中。 圖3是依照本發明一具體實施例的一内容密碼次系統的一 圖式。處理器介面40可連接到處理器3〇(未顯示在圖3中) ,以在密碼次系統和處理器之間提供一種通信的裝置。資 料和键訊息、控制和狀態、執行參數、内建測試資料、和 中斷透過這個介面運送。控制狀態暫存器(CSR)和中斷器 4 2 了用來控制内容密碼次系統的配置,和經由處理器介面 中斷處理器。資料輸入先進先出(FIF〇)緩衝區44儲存從處 理器接收的串流資料。執行監視器4 6監控内容密碼次系統 如何執行處理從處理器所接收的資料和輸出編密的資料到 IEEE 1394匯流排。循迴FIF0 48可用來以CSR測試内容密碼 次系統。它提供内建測試的能力。在各種具體實施例中的 每一 CSR和中斷器42、資料輸入FIFO 44、執行監視器46 、和循迴FIF048元件可以是可選擇性的。訊息語法分析器 5 〇執行訊息剖析、負載工作路線排定、和自動的封包化, -10 本紙張尺度週用中國國家標準(CNS)A4規格(210 X 297公釐)
[--------Φ! (請先閱讀背面之注意事項再填寫本頁) · · 線· 490968 A7 B7 五、發明說明(8 如此從處理器上執行的軟體摘錄這些函數。它藉由檢查訊 息的表頭解#從處理器接收的那些訊息。如上面所討論, 訊息包括清除資料訊息,其中資料可省略密碼、鍵訊息, 其中訊息包含备瑪的一個键,和編密資料訊息,其中資料 可由密碼處理。當訊息是一键訊息時,键資料負载載入到 密碼核心中。當訊息是一編密資料訊息時,負载部分分割 爲封包,並轉送到密碼核 心 52,而IEEE 1394同步封包表頭 繞過密碼核心5 2直接送到MAC介面。當訊息是一清除資料 訊息時’負載分割爲封包,而表頭和負載兩者都繞過密碼 核心5 2直接轉送到Mac介面5 4。密碼核心5 2執行編密處理 ,將在以下進一步詳細討論。MAC介面5 4連接密碼核心到 IEEE 1394 MAC 34(未顯示在圖3中)。 在一具體實施例中,内容密碼次系統2 〇的一密碼核心5 2 可取佳化爲取小晶片資源用法。圖4是依照本發明一具體實 施例最佳化爲小尺寸的密碼核心之一圖式。此小M6密碼核 心的運作可概述如下。 内容密碼次系統的訊息語法分析器載入一有64位元的内 各鍵到鍵產生器62的键儲存體6〇之内,一次一個ι6位元字 組。它藉由驅動鍵資料到一般本文輸入線6 4上、並驅動那 些對應的載入鍵字組線6 6爲高電位如此做,其中載入鍵字 組1載入鍵的最高有效字組(MSW),而載入鍵字組4載入键 的最低有效字組(LSW)。键函數68接受内容键和來自密碼 本文回饋暫存器70的密碼本文回饋當做輸入參數,並產生 一 Pi函數键。在一具體實施例中,只有64位元内容鍵的最 (請先閱讀背面之注意事項再填寫本頁) 訂: -線· 經濟部智慧財產局員工消費合作社印製
五、發明說明(9 低有效5 6位元被鍵函數所使用。键產生器中的密碼本文回 饋暫存器可能在系統的重設或開機時初始地清除(也就是對 準零電位)。 然後内容密碼次系統的訊息語法分析器載入一般本文資 料f區塊組合器72中,一次一個16位元字組,最高有效字 組最先開始。它藉由驅動一般本文資料到一般本文輸入線 上並驅動般本文就緒線7 4爲高電位如此做。區塊組 合器藉由驅動一般本文就緒線76爲高電位回報每一字組的 傳送。區塊組合器組合四個字組的一般本文到一64位元區 塊中。當一區塊組合好時,區塊組合器驅動區塊進行中線 78爲高電位並保持此線爲高電位,直到整個組合好的區塊 已、、二由夕工器(MUX) 82傳送到Pi函數8〇。當區塊變成可用 時,區塊組合器啓始十回次控制器84中的一狀態機,以開 始編密一區塊。一旦十回次控制器開始,區塊組合器開始 組合一般本文資料的下一區塊。 十回次控制器8 4最初從區塊組合器72載入一組合的區塊 到Pi函數80中。然後它在Pi函數輸出安定時延遲。pi函數 8 〇根據一般本文和p i函數鍵產生密碼本文。然後十回次控 制器從它自己的輸出再載入Pi函數並再一次延遲。此再載 入和延遲的程序可再重複八次總共十個回次。pi函數8〇接 文一般本文的一區塊和一鍵當做輸入參數,並輸出密碼本 文的一區塊。可能有三種Pi函數的變形。5C DTCp方法每 一回次指派變形中的一種。變形的選擇是在十回次控制器 的控制之下。 (請先閲讀背面之注意事項再填寫本頁) -線 經濟部智慧財產局員工消費合作社印製 -12-
A7 經濟部智慧財產局員工消費合作社印製 ---------B7__五、發明說明(1〇 ) 在第^五回/人時,郅份地編密的區塊可載入到鍵產生器6 2 中的在碼本文回饋暫存器7 〇。部份地編密的區塊可連同内 容鍵一起使用,以許質 4异要用來編密下--般本文區塊的P i 函數鍵。在第十回;&咕 ^人、 丁 W /人時,元全編密的密碼本文區塊可载入 到區塊發射器8 6中’而鍵函數6 8輸出可被更新。然後在區 塊發射器當中的-狀態機可被啓始。當完全編密的密碼本 文區塊變成可用,區塊發射器將它們分解成16位元的字組 ,並將它們一次一個字組傳送到MAC介面,最高有效字組 最先。它藉由驅動密碼本文資料到密碼本文輸出線88上、 並驅動密碼本文就緒線90爲高電位如此做。mac介面藉由 驅動密碼本文就緒線92爲高電位回報每一字組的傳送。 當汛息浯法分析器隨同一般本文資料的最後字組驅動一 般本文最後線9 4爲兩電位時,可指示一編密架框的最後字 組。在此時,有二種可能的情況,指示密碼將如何進行。 如果編密架框結束在一完整的區塊(也就是,如果架框的位 元大小是64的一整數倍數),除了密碼本文的最後字組可能 伴隨著密碼本文最後線9 6被驅動爲高電位之外,密碼核心 以如先前的區塊相同之方式編密和傳送那個區塊。如果編 密架框結束在一不完全的區塊(也就是,架框的位元大小不 是6 4的一整數倍數),先前的密碼本文區塊可能再被載入到 P i函數中,而可能對它執行另外的1 0個回次。結果的最低 有效部分等於結束架框之不完全區塊的大小,然後可與到 目前還沒編密的不完全區塊互斥的或運算。最後的結果, 編密的不完全區塊,然後可以密碼本文的最後字組伴隨著 -13 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注音?事項再填寫本頁) . .線·
-1 I I n / - 490968 A7 B7 五、發明說明(11 ) 密碼本文最後線9 6被驅動爲高電位傳送到MAC介面。只有 結束架框的不完全區塊中所出現字組的數目可傳送到MAC 介面。 直到一整個編密架框已被密碼處理之前,内容密碼次系 統不能改變内容鍵。一旦一架框的最後密碼本文字組被傳 送到外部系統,鍵產生器6 2中的密碼本文回饋暫存器7 0可 能被清除(也就是,對準零電位),進行中區塊線7 8可能被 驅動爲低電餍,而可開始一新的編密架框。新的編密架框 可再使用先前的内容鍵而不重新載入它,或者一新的内容 鍵可在一般本文資料的載入之前載入到键儲存體60中。 實施M6-S56 C-CBC密碼的密碼核心可在非常高速積體電 路(VHSIC)硬體設計語言(VHDL)語法中階層式地描述。此 架構包含子元件和與同時的訊號指派互相連接的程序。每 一元件可以是參數化的,以便簡化應該會出現的修改需要 。在一具體實施例中,密碼核心的最高層設計實體可實施 爲m6_ small元件。圖5是依照本發明一具體實施例的小密碼 核心之一結構方塊圖。在一具體實施例中,小密碼核心的 輸入和輸出埠可在下列實體指述中定義。 I u -------^---------^ —^wi (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490968 A7 B7
五、發明說明(12 J 經濟部智慧財產局員工消費合作社印製 -The Small Cipher Core entity ^ library ieee; use ieee.stdJogic_1164.all; -standard nine value logic system use work.constants.all; --global design parameters entity m6_small is port (clock : in stdjogic; --system clock clear : in std—logic; -asynchronous clear reset : in stdjogic; -synchronous reset ptin : in std logic vector(WORDSIZE~1 downto 0); -plain text ptrdy : in stdjogic; —plaintext ready ptlast : in stdjogic; --plaintext last ptrd : out stdjogic; -plaintext read ctout : out std logic_vector(WORDSIZE-1 downto 0); -cipher text ctrdy:outstd」ogic; -ciphertext ready ctlast : out std—logic; --ciphertext last ctrd : in stdjogic; -ciphertext read bip : out stdjogic; --block in progress ckldl : in stdjogic; --content key word 1 load ckld2 : in stdjogic; -content key word 2 load ckld3 : in stdjogic; -content key word 3 load ckld4 : in stdjogic); -content key word 4 load end m6—small; -15- (請先閱讀背面之注音?事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 490968 A7 B7 五、發明說明(13 ) 所有的訊號,除了清除訊號100以外,可與時脈訊號102 同步。在時脈訊號102的上升邊緣上輸入訊號可被取樣、而 輸出訊號改變。清除訊號100可能是異步的,且可用作一開 機重設。同步的重設訊號104執行與清除訊號相同的功能, 除了它與時脈訊號同步之外。它重設密碼爲一清除狀態(舉 例來説,準備好接受内容键和一般本文)。訊號Ptin 106、 Ptrdy 108、Ptlast 110、和Ptrd 112包含一般本文輸入介面。 訊號 Ctout 114、Ctrdy 116、Ctlast 118、和 Ctrd 120 包含密碼 本文輸出介面。Ckld 1-4訊號122可與Ptin訊號一起使用, 以載入一 56位元内容键。Bip訊號124包含對訊息語法分析 器的一狀態指示器。所有訊號使用正邏輯。 圖6是依照本發明一具體實施例之内容鍵載入處理的一波 形圖。一 56位元内容键可藉由驅動键資料到Ptin輸入訊號 106上、且驅動對應的Ckld 1 -4訊號122爲高電位載入到密 碼核心’其中ckld 1載入最南有效字組(MSAV)而ckld 4載入 最低有效字組(LSW)。在圖6中顯示的波形説明一範例内容 键 0xl234567890abcd的載入。 圖7是依照本發明一具體實施例的一般本文載入處理的波 形圖。一般本文資料可藉由驅動一般本文資料到Ptin 106輸 入訊號上並驅動Ptrdy 108爲高電位,一次載入一 16位元字 組到密碼核心中,最高有效字組最先。密碼核心藉由驅動 Ptrd 112爲高電位回報每一字組的傳送。一密碼架框中一般 本文的最後字組,可藉由驅動Ptlast 110爲高電位伴隨一般 本文資料的最後字組指TF。在圖7中顯TF的波形說明載入' 一 -16- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) |線· 490968 A7 < 乂 ___B7___ 五、發明說明(14 ) 般本文的一架框到密碼核心之中。 (請先閱讀背面之注意事項再填寫本頁) 圖8是依照本發明一具體實施例密碼本文卸載處理的一波 形圖。密碼本文可藉由每當感應到Ctrdy 116是高電位時從 Ctout埠114接受密碼本文資料,從密碼核心一次卸載一 1 8 位元字組,最高有效字組最先。MAC介面藉由驅動Ctrd 120 爲高電位回報每一字組的傳送。當感應到Ctlast 118爲高電 位伴隨密碼本文資料的最後字組時,可指示一密碼架框中 密碼本文的::最後字組。在圖8中顯示的波形説明從密碼卸載 密碼本文的一架框。 往回參考圖5,區塊組合器元件Blockasm 130,控制來自 訊息語法分析器所載入1 6位元一般本文字組之6 4位元密碼 區塊的組合,每當一區塊準備就緒啓始十回次控制器,控 制結束一密碼架框的不完全區塊之編密,和清除在密碼架 框之間的密碼本文回饋暫存器。在一具體實施例中,區塊 組合器的輸入和輸出埠可在下列實體指述中定義。 -The Block Assembler entity library ieee; 經濟部智慧財產局員工消費合作社印製 use ieee.stdJogic_1164.all; entity blockasm is port (clock : in std logic; - system clock clear : in stdjogic; - asynchronous clear reset : in stdjogic; - synchronous reset ptrdy : in stdjogic; - plaintext ready -17 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 490968 A7 B7 五 、發明說明(15 ptlast : in stdjogic; -- last plaintext word bipin 1 : in stdjogic; - block in progress (1st stage) bipin2 : in stdjogic; - block in progress (2nd stage) bipin3 : in stdjogic; - block in progress (tx stage) ptrd : out stdjogic; Idwrdl : out stdjogic; Idwrd2 : out stdjogic; Idwrd3 : out stdjogic; Idwrd4 : out stdjogic; Idpart : out stdjogic; init : out stdjogic; go : out stdjogic; partwrdl : out stdjogic; partwrd2 : out stdjogic; partwrd3 ·· out stdjogic; lastblk : out stdjogic; bip : out stdjogic); -plaintext read -load word 1 -load word 2 -load word 3 -load word 4 -load partial block —cipher initialize --cipher go —partial block (1 word) -partial block (2 word) —partial block (3 word) —last block -block in progress (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 end blockasm; 輸入訊號ptrdy、ptlast,和輸出訊號ptrd可用來握手從訊 息語法分析器傳送來的一般本文資料。輸入訊號bipin 1 · 3 將十回次控制器和區塊傳送元件的狀態提供給區塊組合器 。輸出訊號Idwrd 1-4可用在程序ptblk—proc 132中,以排列 一般本文字組的載入到--般本文區塊中。輸出訊號Idpart 引起程序ptblk_proc再載入先前 18 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 碼本文區塊以卷編 經濟部智慧財產局員工消費合作社印製 490968 A7 B7 五、發明說明(16) 密(這只用在當一密碼架框結束在一不完全區塊中時)。輸 出訊號init可用在程序ctpart一proc 134中,以清除密碼本文 回饋暫存器。輸出訊號go可用來啓始十回次控制器中的狀 態機。輸出訊號partwrd 1 - 3和lastblk可用在程序ctout一 proc 13 6中,並藉由區塊傳送元件來控制一密碼架框的最後區塊 之傳輸和不完全區塊的編密。輸出訊號bip對訊息語法分々斤 器指示密碼的狀態。 十回次控制器元件,rlOctrl 138,控制個別64位元,歡本 文區塊的編密。它包括一計時器,其設定每一回次的^ 0 ,允許訊號安定於Pi函數,和一狀態機追蹤那些回次° 一具體實施例中,十回次控制器的輸入和輸出埠可在下巧 實體指述中定義。 -The Ten Round Controller entity library ieee; use ieee.stdjogic ! 164.all; entity rIOctrl is generic (ROUNDDLY : positive := 3; — round delay CNTRBITS : positive := 2); — round delay counter bits 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
— — — I — I I ··1 — - — 丨· (請先閱讀背面之注意事項再填寫本頁) 490968 A7 B7 五、發明說明(17 port (clock : in stdjogic; clear : in stdjogic; reset : in stdjogic; go : in stdjogic; bipin : in stdjogic; -system clock -asynchronous clear -synchronous reset -cipher go -block in progress (next stage) 經濟部智慧財產局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) blockrdy enrndl enrnd2 enrnd3 enrnd4 enrnd5 enrnd6 enrnd7 enrnd8 enrnd9 enrndl 0 out stdjogic; out stdjogic; out stdjogic; out std logic; out std logic; out std logic; out stdjogic; out stdjogic; out stdjogic; out stdjogic; :out stdjogic;
Idpart : out std—logic; Idrslt : out stdjogic; Idfdbk : out std logic; Idnxtblk : out std logic; bip : out std logic); --ciphertext block done --enable round 1 -enable round 2 -enable round 3 -enable round 4 —enable round 5 --enable round 6 -enable round 7 --enable round 8 -enable round 9 -enable round 10 -load the partial result register -load the final result register —load the feedback register -load the next plain text block -block is in progress end rIOctrl; -20 尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490968 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(18 區塊組合器所驅動的輸入訊號g 〇,啓動十回次控制器的 狀態機。輸入訊號bipin提供十回次控制器區塊傳送元件的 狀悲。一旦一區塊已被編名、,輸出訊號bl〇ckrdy可用來初始 化區塊發射器中的狀態機。輸出訊號enrnd 1 _ 1 〇可用來以 母一回次的標準選擇P i函數變體。輸出訊號Idpart可用在程 序ctpart一proc 134中,以載入第五回次部份地編密的密碼本 文區塊到密碼本文回饋暫存器中。輸出訊號Idrslt可用在程 序ctrslt 一 pr〇C 140中,以載入完全編密的密碼本文區塊。輸 出訊號IdWbk和Idnxtblk可用在程序ptblk proc 132中,以選 擇Pi函數資料的來源。LdWbk選擇Pi函數輸出準備下一回 次的執行。Ldnxtblk選擇區塊組合器輸出來開始下__般本 文區塊的編密。輸出訊號bip提供十回次控制器的狀態給區 塊組合器。
Pi函數的二種具體實施例提供在本發明中。在一具體實 施例中,pi 一 comb包含Pi函數的一種組合版本。輸入訊二 組合地流過那些算術運算,非同步地到達輸出線。當需要 高流通=時,可使用這個具體實施例。這個具體實ς二使 用在小密碼核心和快速密碼核心中。在另一 升肖豆貫施例中 ,Pkseq包含Pi函數的一順序版本。輸入訊號循序地時序 過每—連續的算術運算,同步地到達那些輸出線。當需要 低雜訊運作時,可使用這個具體實施例。二而 估★如、 乂個具體貫施例 使用在靜晋密碼核心中。 在一具體實施例中,組合P i函數的輸入和輪 列實體指述中定義。 W 可在下 本紙張尺度義中^i?®7FNS)A4規格⑵0 χ 297公 (請先閱讀背面之注意事項再填寫本頁) 幻: -線· -21 - 490968 A7
The Combinatorial Pi Function entity library ieee; use ieee.stdJogic_1164.all; use work.constants.all; entity pi_comb is -IEEE std. nine value logic system -global constants (請先閱讀背面之注意事項再填寫本頁) generic (S1 :positive : =2; -rotation index 1 S2 :positive := :11; --rotation index 2 S3 :positive := ^ 17); —rotation index 3 port (pi 123 : in stdjogrc; — pi variant 123 enable pi 132 : in stdjogic; pi variant 132 enable pi21 3 : in stdjogic; - pi variant 213 enable pi312 : in std logic; - pi variant 312 enable 經濟部智慧財產局員工消費合作社印製 key1 : in std_logic vector(BLOCKSIZE/2-1 downto 0); — key 1 key2 : in std_logic_vector(BLOCKSIZE/2-1 downto 0); - key 2 input : in stdJogic_vector(BLOCKSIZE-1 downto 0); - in block output : out stdJogic_vector(BLOCKSIZE-1 downto 0)); -- out block end pi comb; 22 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490968 , A7 __B7____ 五、發明說明(2G ) 那些輸入訊號pil23、pi 13 2、p213、和pi312以一種每回 (請先閱讀背面之注意事項再填寫本頁) 次的標準選擇Pi變體。輸入訊號key卜2包含二個32位元 Pi函數键。輸入包含64元Pi函數輸入區塊,而輸出包含64 位元P i函數輸出區塊。 在一具體實施例中,循序P i函數的輸入和輸出螓可在下 列實體指述中定義。 -The Sequential Pi Function entity library ieee; use ieee.std_logic_1164.all; - IEEE std. nine value logic system use work.constants.all; - global constants entity pi_seq is generic (S1 :positive : = 2; -first rotation index S2 :positive := 11; -second rotation index S3 :positive := 17); -third rotation index port (clock :in stdjogic; - system clock clear : in stdjogic; - asynchronous clear 經濟部智慧財產局員工消費合作社印製 pi 123 : in stdjogic; -- pi variant 123 enable pi132 : in std logic; - pi variant 132 enable -23- 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 490968 A7 B7_ 五、發明說明(21 ) pi213 : in stdjogic; -- pi variant 213 enable (請先閱讀背面之注意事項再填寫本頁) pi31 2 : in std」ogic; - pi variant 312 enable key1 ·· in std」ogic—vector(BLOCKSIZE/2-1 downto 0); — key 1 key2 : in std」ogic—vector(BLOCKSIZE/2-1 downto 0); — key 2 input : in std_logic_vector(BLOCKSIZE-1 downto 0); - in block output : out std_logic_vector(BLOCKSIZE-1 downto 0)); - out block end pi_seq; 那些輸入訊號pil23、pil32、p213、和pi312以一種每回次 的標準選擇Pi變體。輸入訊號keyl-2包含二個32位元Pi函 數键。輸入包含64元Pi函數輸入區塊,而輸出包含64位元 P i函數輸出區塊。 經濟部智慧財產局員工消費合作社印製 區塊傳送元件blocktx 142,控制6 4位元密碼本文區塊分 解成1 8位元字組,並傳送它們到MAC介面。在一具體實施 例中,區塊傳送的輸入和輸出埠可在下列實體指述中定義。 - 24 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490968 A7 B7 五、發明說明(22 ) -The Block Transmitter entity library ieee; use ieee.stdJogic_1164.all; end blocktx; 本紙張尺度適舟中屏S家標準(CNS)A4規格(440 X 297·全釐) (請先閱讀背面之注音?事項再填寫本頁)
entity blocktx is port (clock : in stdjogic; -system clock clear : in stdjogic; --asynchronous clear reset : in stdjogic; --synchronous reset partrdyl : in stdjogic; --partial block ready (one word) partrdy2 : in stdjogic; -partial block ready (two words) partrdy3 : in stdjogic; -partial block ready (three words) blockrdy : in stdjogic; -ciphertext block ready lastblk : in stdjogic; --last cipher text block of frame ctrd : in stdjogic; -ciphertext read blkwrdl : out stdjogic; --ciphertext block word 1 select blkwrd2 : out stdjogic; --ciphertext block word 2 select blkwrd3 : out stdjogic; -ciphertext block word 3 select blkwrd4 : out std」ogic; -ciphertext block word 4 select partwrdl : out stdjogic; -partial block word 1 select partwrd2 : out stdjogic; -partial block word 2 select partwrd3 : out stdjogic; --partial block word 3 select ctrdy : out stdjogic; -ciphertext ready ctlast : out stdjogic; -last ciphertext word of frame bip : out stdjogic); —block is in progress 經濟部智慧財產局員工消費合作社印製 •25 λ 經濟部智慧財產局員工消費合作社印製 490968 A7 ------ 五、發明說明(23 ) 十回次控制器所驅動的輸入訊號blockrdy,啓動區塊傳送 元件的狀態機。區塊組合器所驅動的輸入訊號partrdy 3 和lastblk ’指示密碼架框狀態的結束。輸入訊號partrdy 3 分別指示架框結束在由一、二、三個字組所組成的不完全 區塊中。輸入訊號Lastblk指示架框結束在一完整的區塊中 。輸出訊號ctrdy、ctlast,和輸入訊號ctrd作可用來與MAC 介面握手資料傳送。輸出訊號blkwrd 1 - 4和partwrd 1 - 3可 使用在程序ctout_proc 136中,以選擇哪一密碼本文字組要 傳送到MAC介面。輸出訊號Blkwrd 1 - 4分別選擇密碼本文 字組1 ( MSW)到4 ( LSW)。輸出訊號Partwrd 1 - 3分別選擇不 完全區塊密碼本文字組1到3。輸出訊號bip提供區塊傳送元 件的狀態給十回次控制器和區塊組合器。 在本發明另一具體實施例中,密碼核心可最佳化爲高流 通里。雖然這個具體實施例在尺寸上比小密碼核心稍大(也 就是,消耗更多晶片資源),它保持一合理的大小以實施在 一 FPGA或CPLD中。圖9是依照本發明一具體實施例的對速 度最佳化的密碼核心之一圖式。快速密碼核心的運作與小 版本類似。一個不同是十回次控制器已由兩個五回次控制 器取代,而P i函數和相關的電路已被複製。這種架構把密 碼分爲二階段,每一個執行總數十回次中的五個。第一階 段執行回次一到五並將不完全編密的第五回次區塊載入键 產生器中的密碼本文回饋暫存器。第二階段從第一階段載 入不完全編密的第五回次區塊和P i函數鍵,然後執行回次 六到十。一旦第二階段開始,第一階段開始編密下一區塊 -26- 本紙張尺度適用中國國家標準"(CNS)A4規格(210 X 297公釐^ (請先閱讀背面之注意事項再填寫本頁)
490968 A7 ___B7___ 五、發明說明(24 ) 。以這種方式,密碼核心一次同·時地處理二個區塊,造成 流通量接近一單一階段實施的二倍。編密架框以如小版本 中相似的方式處理。一個不同是當一架框結束在一不完全 區塊中時,密碼本文的先前區塊可從第二階段復原,並發 送回到第一階段中。區塊組合和傳輸處理與上面所討論小 密碼核心提供的處理類似。 在一具體實施例中,快速密碼核心的最高層次設計實體 包含m6_ fast元件。圖1 〇是依照本發明一具體實施例的快速 密碼核心之一結構方塊圖。在一具體實施例中,快速密碼 核心的輸入和輸出埠可在下列實體指述中定義。 -The Fast Cipher Core entity library ieee; -standard nine value logic system -global design parameters use ieee.stdjogic 11 64.all; use work.constants.all; entity m6 fast is 經濟部智慧財產局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) port (clock : in stdjogic; - system clock clear : in std」ogic; — asynchronous clear reset : in std—logic; - synchronous reset ptin : in std—logic一vector{WORDSIZE-1 downto 0); — plain text ptrdy : in stdjogic; -- plaintext word ready ptlast : in stdjogic; - last plaintext word ready ptrd : out stdjogic; -- plaintext word read "" -27- 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 經濟部智慧財產局員工消費合作社印製 490968 A7 __B7_ 五、發明說明() 25 ctout : out stdJogic_vector(WORDSIZE-1 downto 0); - cipher text ctrdy : out std」ogic; -- ciphertext word ready ctlast : out stdjogic; -- last ciphertext word ready ctrd : in stdjogic; -- ciphertext word read bip : out stdjogic; -- block in progress ckldl : in stdjogic; - content key word 1 load ckld2 : in std—logic; -- content key word 2 load ckld3 : in stdjogic; - content key word 3 load ckld4 : in std—logic}; - content key word 4 load end m6 fast; 對快速密碼核心的輸入/輸出介面與小密碼核心中所使用 的相同。區塊組合器元件、組合的和循序的PI函數元件、 和快速密碼核心的區塊傳送元件與小密碼核心中使用的相 同0 兩個五回次控制器元件r5ctd,控制個別6 4位元一般本文 區塊的編密。它們包括一計時器,其設定每一回次允許訊 號通過P i函數的期間,和一狀態機其追蹤那些回次。兩個 五回次控制器和二個P i函數使用在快速密碼核心中,以建 立一二階段管路。第一階段執行回次卜5,而第二階段執行 回次6-10。以這種方式,一般本文的二個區塊可同時地處 理,有效地倍增密碼的流通量。在一具體實施例中,五回 次控制器的輸入和輸出埠可在下列實體指述中定義。 __________ -9R-. 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
490968 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(26) -The Five Round Controller entity library ieee; use ieee.std」ogic_1164.all; entity r5ctrl is generic (ROUNDDLY : positive := 3; — round delay CNTRBITS : positive := 2); - round delay counter bits port (clock :in std—logic; — system clock clear : in stdjogic; - asynchronous clear reset : in stdjogic; - synchronous reset go : in stdjogic;- cipher go bipin :in stdjogic;— block in progress (next stage) blockrdy :out std logic; —ciphertext block done enrndl :out stdjogic; -enable round 1 enrnd2 :out stdjogic; -enable round 2 enrnd3 :out stdjogic; -enable round 3 enrnd4 :out stdjogic; -enable round 4 enrnd5 :out stdjogic; -enable round 5 Idrslt :out stdjogic; -load the result register Idfdbk :out std logic; ~ load the feedback register Idnxtblk :out stdjogic; -load the next plain text block bip :out stdjogic); -block is in progress end r5ctrl; 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) ----------— II --------訂----I----線-- (請先閱讀背面之注意事項再填寫本頁) 490968 A7 B7 五、發明說明(27 ) 輸入訊號go啓動五回次控制器的狀態機。輸入訊號bipin 把下一階段的狀態提供給五回次控制器。一旦一區塊已處 理過,輸出訊號blockrdy用來啓動下一回次。輸出訊號 e nr n d 1 - 5可用來以每一回次的標準,選擇要使用的P i函 數變體。一旦處理完成,輸出訊號Idrslt可用來儲存一區塊 。輸出訊號Idfdbk和Idnxtblk可用來選擇P i函數的資料來源 。輸出訊號Ldfdbk選擇P i函數輸出,以準備下一回次的執 行。輸出訊號Ldnxtblk選擇前一階段的輸出,以開始處理下 一區塊。輸出訊號bip提供五回次控制器的狀態給下一階段。 如此,已描述了内容密碼次系統的一密碼核心之三種具 體實施例。依系統需求而定,這些具體實施例選擇的其中 一種可用來協助保護在一數位傳輸線例如一 IEEE 1394匯流 排上溝通的資料。 雖然本發明已參考例示具體實施例描述,此説明的目的 不是以一限制的意旨解釋。對熟知該項技藝人士是顯而易 見的,屬於本發明的例示具體實施例之各種修改,以及本 發明的其他具體實施例,應認爲在本發明的精神和範疇當 中 〇 (請先閱讀背面之注意事項再填寫本頁) . --線· 經濟部智慧財產局員工消費合作社印製 -30- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 490968 一 ^g}〇9359號專利申請案 料#,1曰 >中冬說明書修正頁⑼年3月) 五、發命説明(27a ) 圖式元件符號說明 12 來源裝置 68鍵函數 14 目的裝置’ 70密碼本文回饋暫存器 16 密碼本文 72區塊組合器 18 内容來源 74 —般本文就緒 20 内容密碼次系統 76 —般本文讀取 22 内容密碼次系統 78區塊進行中 24 内容目的 80 Π函數 30 處理器 82多工器 32 記憶體 84十回次控制器 34 媒體存取控制器 86區塊發射器 36 實體層介面 88密碼本文輸出線 40 處理器介面 90密碼本文就緒輸出線 42 控制狀態暫存器和中斷器 92密碼本文讀取輸出線 44 資料輸入先進先出緩衝區 94 一般本文最後線 46 執行監視器 96密碼本文最後輸出線 48 循迴先進先出緩衝區 100清除 50 訊息語法分析為 102時脈 52 密碼核心 104重設 54 媒體存取控制器介面 106 —般本文 60 鍵儲存器 108 —般本文就緒 62 鍵產生器 110 —般本文最後線 64 一般本文 112 —般本文讀取 66 載入鍵字組1-4 114密$馬本文 -30a - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 490968 辟H09359號專利申請案 A7 B7 9样3允1曰申丈說明書修正頁(91年3月) :2^發明説明(270) 116密碼本文就緒 118密碼本文最後線 120密碼本文讀取 122内容键字組1-4載入 124區塊進行中 130區塊組合器元件 132 —般本文方塊_過程 134部分密碼本文_過程 136密碼本文輸出_過程 138十回次控制器元件 140 密碼本文結果_過程 142 區塊傳送元 件 -30b 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
Claims (1)
- 叫3/Γ 1日 1^09359號專利申請案 請專利範圍修正本(91年3月)一種用以編密資料的裝置,包含·: 一區塊組合器,以接收資料的字組和組合字組到一 塊中; ° 一編密函數,連接到區塊組合器以根據一編 來編密區塊; _ 區塊發射器,連接到編密函數以接收編密的區塊和 分解編密的區塊成為編密的資料字組;和 2 -控制器連接到區塊組合器、編密函數、和區塊發 射器,以控制編密函數對區塊的多回次編密。 又 一種用以編密資料的裝置,包含: 一區塊組合器,以接收資料的字組和組合字组 塊中; & 弟-和第二編密函數,連接到區塊組合器,以根據一 編密函數鍵來編密區塊; :區塊發射器,連制第—和第:編密函數,以接收 編2的區塊和分解編密的區塊成為編密的資料字紐; —第一控制器’連接到區塊組合器和第-編密函數, 以控:弟一編密函數對區塊的-第-組回次的編密;和 弟-控制器,連接到第—控制器和第二編密函數, 以_第二編密函數對區塊的—第二组回次的編密。 一種密碼系統,包含·· 一密碼心’以編密—般本文資料成為密碼本文資料 ’其中密碼核^包含-區塊組合器來接收資料的字組和 組合那些竽組到一區塊中,一編密函數來根據一編密函 3 490968A BCD 請專利範圍 數鍵將區塊編密,一區塊發射器來接收編密過的區塊和 分解編密過的區塊成為編密的資料字組,和一控制器來 控制編密函數對區塊的多重回次編密;和 一匯流排介面,連接到密碼核心以傳遞密碼本文資料 到一匯流排。 4. 一種用以編密資料的裝置,包含: 用以接收資料的字組和用以組合字組到一區塊中 置; $ 用以根據一編密函數鍵編密區塊之裝置; 用以接收編密的區塊和用以分解編密的區塊 的資料字組之裝置;和 成為編密 用以控制對區塊的多重回次編密之裝置。 -2 - 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/313,133 US6324288B1 (en) | 1999-05-17 | 1999-05-17 | Cipher core in a content protection system |
Publications (1)
Publication Number | Publication Date |
---|---|
TW490968B true TW490968B (en) | 2002-06-11 |
Family
ID=23214522
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW089109359A TW490968B (en) | 1999-05-17 | 2000-06-08 | Apparatus for encrypting data and cipher system |
Country Status (7)
Country | Link |
---|---|
US (1) | US6324288B1 (zh) |
EP (1) | EP1179242B1 (zh) |
CN (1) | CN1160901C (zh) |
AU (1) | AU4692900A (zh) |
DE (1) | DE60021560T2 (zh) |
TW (1) | TW490968B (zh) |
WO (1) | WO2000070817A1 (zh) |
Families Citing this family (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7730300B2 (en) | 1999-03-30 | 2010-06-01 | Sony Corporation | Method and apparatus for protecting the transfer of data |
US6760438B1 (en) * | 1999-07-01 | 2004-07-06 | Nortel Networks Limited | System and method for Viterbi decoding on encrypted data |
US7039614B1 (en) | 1999-11-09 | 2006-05-02 | Sony Corporation | Method for simulcrypting scrambled data to a plurality of conditional access devices |
GB9930145D0 (en) | 1999-12-22 | 2000-02-09 | Kean Thomas A | Method and apparatus for secure configuration of a field programmable gate array |
US20070288765A1 (en) * | 1999-12-22 | 2007-12-13 | Kean Thomas A | Method and Apparatus for Secure Configuration of a Field Programmable Gate Array |
US7240218B2 (en) * | 2000-02-08 | 2007-07-03 | Algotronix, Ltd. | Method of using a mask programmed key to securely configure a field programmable gate array |
US20010048747A1 (en) * | 2000-04-27 | 2001-12-06 | O'brien Terry | Method and device for implementing secured data transmission in a networked environment |
US7225159B2 (en) * | 2000-06-30 | 2007-05-29 | Microsoft Corporation | Method for authenticating and securing integrated bookstore entries |
US20020046045A1 (en) * | 2000-06-30 | 2002-04-18 | Attila Narin | Architecture for an electronic shopping service integratable with a software application |
JP2002158654A (ja) * | 2000-11-17 | 2002-05-31 | Hitachi Ltd | 情報処理装置、表示装置、デジタルコンテンツ配布システム、および、デジタルコンテンツ配布・出力方法 |
AU2002232807A1 (en) * | 2000-12-19 | 2002-07-01 | At And T Wireless Services, Inc. | Synchronization of encryption in a wireless communication system |
ITMO20010038A1 (it) * | 2001-03-06 | 2002-09-06 | Elopak Systems | Apparato e metodo per la lavorazione di materia plastica e contenitore di prodotto fluido |
US7188342B2 (en) * | 2001-04-20 | 2007-03-06 | Microsoft Corporation | Server controlled branding of client software deployed over computer networks |
US7895616B2 (en) | 2001-06-06 | 2011-02-22 | Sony Corporation | Reconstitution of program streams split across multiple packet identifiers |
US7747853B2 (en) | 2001-06-06 | 2010-06-29 | Sony Corporation | IP delivery of secure digital content |
US7151831B2 (en) | 2001-06-06 | 2006-12-19 | Sony Corporation | Partial encryption and PID mapping |
GB0114317D0 (en) * | 2001-06-13 | 2001-08-01 | Kean Thomas A | Method of protecting intellectual property cores on field programmable gate array |
US20030039354A1 (en) * | 2001-08-27 | 2003-02-27 | Kimble David E. | FIFO architecture with in-place cryptographic service |
US7292690B2 (en) | 2002-01-02 | 2007-11-06 | Sony Corporation | Video scene change detection |
US7292691B2 (en) * | 2002-01-02 | 2007-11-06 | Sony Corporation | Progressive video refresh slice detection |
US8051443B2 (en) | 2002-01-02 | 2011-11-01 | Sony Corporation | Content replacement by PID mapping |
US7823174B2 (en) | 2002-01-02 | 2010-10-26 | Sony Corporation | Macro-block based content replacement by PID mapping |
US7765567B2 (en) | 2002-01-02 | 2010-07-27 | Sony Corporation | Content replacement by PID mapping |
US8818896B2 (en) | 2002-09-09 | 2014-08-26 | Sony Corporation | Selective encryption with coverage encryption |
KR100446533B1 (ko) * | 2002-10-08 | 2004-09-01 | 삼성전자주식회사 | 무선 통신 시스템에서 암호화 장치 및 방법 |
US7412053B1 (en) | 2002-10-10 | 2008-08-12 | Silicon Image, Inc. | Cryptographic device with stored key data and method for using stored key data to perform an authentication exchange or self test |
US7796752B2 (en) * | 2002-11-04 | 2010-09-14 | Marvell International Ltd. | Cipher implementation |
US7724907B2 (en) * | 2002-11-05 | 2010-05-25 | Sony Corporation | Mechanism for protecting the transfer of digital content |
US8572408B2 (en) | 2002-11-05 | 2013-10-29 | Sony Corporation | Digital rights management of a digital device |
US8667525B2 (en) | 2002-12-13 | 2014-03-04 | Sony Corporation | Targeted advertisement selection from a digital stream |
US8645988B2 (en) | 2002-12-13 | 2014-02-04 | Sony Corporation | Content personalization for digital content |
US7313686B2 (en) * | 2003-09-30 | 2007-12-25 | Cisco Technology, Inc. | Method and apparatus of integrating link layer security into a physical layer transceiver |
US7853980B2 (en) | 2003-10-31 | 2010-12-14 | Sony Corporation | Bi-directional indices for trick mode video-on-demand |
KR20050075877A (ko) * | 2004-01-16 | 2005-07-25 | 삼성전자주식회사 | 데이터 재전송 장치 및 방법 |
KR100967880B1 (ko) * | 2004-11-26 | 2010-07-05 | 삼성전자주식회사 | M6 블록암호시스템 |
US8041190B2 (en) | 2004-12-15 | 2011-10-18 | Sony Corporation | System and method for the creation, synchronization and delivery of alternate content |
US7895617B2 (en) | 2004-12-15 | 2011-02-22 | Sony Corporation | Content substitution editor |
US20070083467A1 (en) * | 2005-10-10 | 2007-04-12 | Apple Computer, Inc. | Partial encryption techniques for media data |
EP1802030A1 (en) * | 2005-12-23 | 2007-06-27 | Nagracard S.A. | Secure system-on-chip |
US8185921B2 (en) | 2006-02-28 | 2012-05-22 | Sony Corporation | Parental control of displayed content using closed captioning |
US20080189558A1 (en) * | 2007-02-01 | 2008-08-07 | Sun Microsystems, Inc. | System and Method for Secure Data Storage |
US8538012B2 (en) | 2007-03-14 | 2013-09-17 | Intel Corporation | Performing AES encryption or decryption in multiple modes with a single instruction |
US8538015B2 (en) | 2007-03-28 | 2013-09-17 | Intel Corporation | Flexible architecture and instruction for advanced encryption standard (AES) |
US8218574B2 (en) * | 2008-05-06 | 2012-07-10 | Harris Corporation | Scalable packet analyzer and related method |
US8582456B2 (en) * | 2009-10-27 | 2013-11-12 | Samsung Electronics Co., Ltd | Method and system for digital content protection locality check with adaptive timeline in wireless communication systems |
US8335314B2 (en) * | 2010-07-27 | 2012-12-18 | Sap Aktiengesellschaft | Adaptive and secure modular connection |
WO2012070811A2 (en) * | 2010-11-22 | 2012-05-31 | Samsung Electronics Co., Ltd. | Method and system for minimizing latencies for content protection in audio/video networks |
WO2013089728A1 (en) * | 2011-12-15 | 2013-06-20 | Intel Corporation | Method, device, and system for securely sharing media content from a source device |
EP4322465A3 (en) | 2011-12-15 | 2024-04-17 | Daedalus Prime LLC | Method and device for secure communications over a network using a hardware security engine |
US20130315387A1 (en) * | 2012-05-25 | 2013-11-28 | Yi-Li Huang | Encryption method characterized by three dimensional computation, feedback control, and dynamic transition box |
US8856515B2 (en) * | 2012-11-08 | 2014-10-07 | Intel Corporation | Implementation of robust and secure content protection in a system-on-a-chip apparatus |
WO2020186125A1 (en) | 2019-03-13 | 2020-09-17 | The Research Foundation For The State University Of New York | Ultra low power core for lightweight encryption |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
NL8203737A (nl) * | 1982-09-27 | 1984-04-16 | Nederlanden Staat | Inrichting voor vercijfering van digitale signalen met een of meer des-schakelingen. |
JPH0362630A (ja) * | 1989-07-31 | 1991-03-18 | Nec Eng Ltd | 衛星通信方式 |
US5161191A (en) * | 1990-11-27 | 1992-11-03 | Gupta Shanti S | Encryption system for time division multiplexed networks |
US5673319A (en) * | 1995-02-06 | 1997-09-30 | International Business Machines Corporation | Block cipher mode of operation for secure, length-preserving encryption |
US5594797A (en) * | 1995-02-22 | 1997-01-14 | Nokia Mobile Phones | Variable security level encryption |
US5838794A (en) * | 1996-01-11 | 1998-11-17 | Teledyne Electronic Technologies | Method and apparatus for inter-round mixing in iterated block substitution systems |
US5943319A (en) * | 1996-04-04 | 1999-08-24 | Lucent Technologies Inc. | Packet format interface for telecommunication instruments |
US5835599A (en) * | 1996-04-15 | 1998-11-10 | Vlsi Technology, Inc. | Muti-cycle non-parallel data encryption engine |
US6026490A (en) * | 1997-08-01 | 2000-02-15 | Motorola, Inc. | Configurable cryptographic processing engine and method |
-
1999
- 1999-05-17 US US09/313,133 patent/US6324288B1/en not_active Expired - Lifetime
-
2000
- 2000-05-02 WO PCT/US2000/011957 patent/WO2000070817A1/en active IP Right Grant
- 2000-05-02 EP EP00928740A patent/EP1179242B1/en not_active Expired - Lifetime
- 2000-05-02 AU AU46929/00A patent/AU4692900A/en not_active Abandoned
- 2000-05-02 DE DE60021560T patent/DE60021560T2/de not_active Expired - Fee Related
- 2000-05-02 CN CNB008104786A patent/CN1160901C/zh not_active Expired - Fee Related
- 2000-06-08 TW TW089109359A patent/TW490968B/zh not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
EP1179242A1 (en) | 2002-02-13 |
US6324288B1 (en) | 2001-11-27 |
WO2000070817A1 (en) | 2000-11-23 |
DE60021560D1 (de) | 2005-09-01 |
EP1179242B1 (en) | 2005-07-27 |
CN1160901C (zh) | 2004-08-04 |
AU4692900A (en) | 2000-12-05 |
CN1361958A (zh) | 2002-07-31 |
DE60021560T2 (de) | 2006-05-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW490968B (en) | Apparatus for encrypting data and cipher system | |
TWI286689B (en) | Cryptographic apparatus for supporting multiple modes | |
Homsirikamol et al. | Caesar hardware api | |
US9363078B2 (en) | Method and apparatus for hardware-accelerated encryption/decryption | |
US7219238B2 (en) | Data transfer control device, electronic instrument, and data transfer control method | |
US7336783B2 (en) | Cryptographic systems and methods supporting multiple modes | |
US20110255689A1 (en) | Multiple-mode cryptographic module usable with memory controllers | |
US20120210133A1 (en) | Data processing apparatus | |
US7623660B1 (en) | Method and system for pipelined decryption | |
JP4025722B2 (ja) | データ暗号化のための方法および装置 | |
KR20080084752A (ko) | 단일 명령어를 이용한 다수 모드에서의 aes 암호화 또는암호 해독 수행 | |
JPH05500298A (ja) | 暗号化装置 | |
JP2006229863A (ja) | 暗号化/復号化装置、通信コントローラ及び電子機器 | |
US8122075B2 (en) | Pseudorandom number generator and encryption device using the same | |
JP2006217369A (ja) | 暗号化/復号化装置、通信コントローラ及び電子機器 | |
WO2020118583A1 (zh) | 数据处理方法、电路、终端设备及存储介质 | |
US7366300B2 (en) | Methods and apparatus for implementing a cryptography engine | |
JP3769804B2 (ja) | 解読化方法および電子機器 | |
JP4665159B2 (ja) | 電子メディア通信装置 | |
JPH11161162A (ja) | 暗号化方法または復号化方法およびそれを用いた装置 | |
Momin et al. | Unprotected and masked hardware implementations of spook v2 | |
Sasongko et al. | Hardware context switch-based cryptographic accelerator for handling multiple streams | |
KR100546777B1 (ko) | Seed 암/복호화 장치, 암/복호화 방법, 라운드 처리 방법, 이에 적합한 f함수 처리기 | |
JP2003169092A (ja) | 暗号化装置、及び復号化装置 | |
JP2009164895A (ja) | 暗号認証処理方法及び装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |