CN1361958A - 内容保护系统中的密码核心 - Google Patents

内容保护系统中的密码核心 Download PDF

Info

Publication number
CN1361958A
CN1361958A CN00810478.6A CN00810478A CN1361958A CN 1361958 A CN1361958 A CN 1361958A CN 00810478 A CN00810478 A CN 00810478A CN 1361958 A CN1361958 A CN 1361958A
Authority
CN
China
Prior art keywords
piece
logic
std
encrypted
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN00810478.6A
Other languages
English (en)
Other versions
CN1160901C (zh
Inventor
J·D·霍夫曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1361958A publication Critical patent/CN1361958A/zh
Application granted granted Critical
Publication of CN1160901C publication Critical patent/CN1160901C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L9/00Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
    • H04L9/06Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols the encryption apparatus using shift registers or memories for block-wise or stream coding, e.g. DES systems or RC4; Hash functions; Pseudorandom sequence generators
    • H04L9/0618Block ciphers, i.e. encrypting groups of characters of a plain text message using fixed encryption transformation
    • H04L9/0637Modes of operation, e.g. cipher block chaining [CBC], electronic codebook [ECB] or Galois/counter mode [GCM]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • H04L12/40Bus networks
    • H04L12/40052High-speed IEEE 1394 serial bus
    • H04L12/40104Security; Encryption; Content protection
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L2209/00Additional information or applications relating to cryptographic mechanisms or cryptographic arrangements for secret or secure communication H04L9/00
    • H04L2209/12Details relating to cryptographic hardware or logic circuitry
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L2209/00Additional information or applications relating to cryptographic mechanisms or cryptographic arrangements for secret or secure communication H04L9/00
    • H04L2209/60Digital content management, e.g. content distribution

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Security & Cryptography (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Storage Device Security (AREA)

Abstract

本发明所提出的密码系统具有一个将明文数据加密成密文数据的密码核心和一个与密码核心连接、将密文数据传送到一个总线上的总线接口。在一个实施例中,密码核心包括:一个接收数据字、将这些数据字汇编成块的块汇编器;一个根据一个加密函数密钥对块加密的加密函数;一个接收经加密的块、将经加密的块分解成加密数据字的块发送器;以及一个控制加密函数对块的多轮加密的控制器。在另一个实施例中,双重配置了加密函数,控制器用两个控制器代替,第一控制器控制对块的第一个5轮加密,而第二控制器控制对块的第二个5轮加密。

Description

内容保护系统中的密码核心
                      发明背景
1.技术领域
本发明与密码系统有关,具体地说与密码的硬件实现有关。
2.背景说明
数字内容广泛地分发给诸如计算机、网络、和消费电子器件之类的各种电子系统。内容可以是音频数据、视频数据、静止图像数据、软件、文本或任何其他可以以数字形式存储和传送给用户的信息。一旦内容呈现为数字形式,在存储在系统的一个组成部分内或从一个组成部分发给另一个组成部分时就很容易被拷贝或截获。数字内容的开发人员和拥有者面对与这样的设备有关的科学技术迅速发展力图保护内容中的他们的知识产权。保护数字内容的一种方法是使用密码。密码系统可以用来对数字内容加密,使得只有得到授权的用户才可以对经加密的数据解密。
有各种密码系统可以用来保护数字内容。一种系统是五公司(5C)数字传输内容保护(DTCP}系统。DTCP方法为电子设备制造厂家提供了一种简单和经济的实现加密的方式,而仍能保持高度的安全。DTCP方法规定了防止音频/视频娱乐内容在通过诸如遵从电气和电子工程师学会(IEEE)高速串行总线1394-1995标准(IEEE 1394)之类的总线的高性能数字总线传输时被非法拷贝、窃听和窜改的密码协议。这种拷贝保护系统保护的只是通过同一个或另一个核准的拷贝保护系统传送给电子设备的合法娱乐内容。出现的许多技术都用了IEEE1394高速数字接口,包括台式计算机系统、数字通用光盘(DVD)播放机、数字电视和数字机顶盒接收机。在这样的设备中所用的透明的DTCP架构使用户可以欣赏高质量的数字图像和声音,不会由于内容保护方案而对性能或质量有任何明显的影响。
对于广泛接受的DTCP方法,需要有一些低成本的加密和解密组件,可以实现内容保护,而不会不适当地延迟数字内容的传输或使用户感到不便。这样的组件当前还没有。本发明就是要填补这方面的空缺和克服现有技术的其他一些缺点。
                      发明概述
本发明的一个实施例是一种具有一个将明文数据加密成密文数据的密码核心和一个与这个密码核心连接的、将密文数据传送到一个总线上的总线接口的密码系统。在一个实施例中,这种密码核心包括:一个接收数据字、将这些数据字汇编成块的块汇编器;一个根据一个加密函数密钥对块加密的加密函数;一个接收经加密的块、将经加密的块分解成加密数据字的块发送器;以及一个控制加密函数对块的多轮加密的控制器。
在另一个实施例中,密码核心包括:一个接收数据字、将这些数据字汇编成块的块汇编器;根据一个加密函数密钥对块加密的第一和第二加密函数;一个接收经加密的块、将经加密的块分解成加密数据字的块发送器;一个控制第一加密函数对块的第一组多轮加密的第一控制器;以及一个控制第二加密函数对块的第二组多轮加密的第二控制器。
                    附图简要说明
从以下结合附图对本发明所作的详细说明中可以清楚地看到本发明的这些特色和优点。在这些附图中:
图1为例示按照本发明的一个实施例设计的在一个加密系统内采用密码的源设备和宿设备的示意图;
图2为按照本发明的一个实施例设计的源设备的各个部分之间的数据流图;
图3为按照本发明的一个实施例设计的内容密码子系统的原理图;
图4为按照本发明的一个实施例设计的按小规模优化的密码核心的原理图;
图5为按照本发明的一个实施例设计的小型密码核心的结构方框图;
图6为按照本发明的一个实施例设计的内容密钥装入处理的波形图;
图7为按照本发明的一个实施例设计的明文装入处理的波形图;
图8为按照本发明的一个实施例设计的密文卸载处理的波形图;
图9为按照本发明的一个实施例设计的按速率优化的密码核心的原理图;以及
图10为按照本发明的一个实施例设计的快速密码核心的结构方框图。
                    详细说明
五公司(5C)数字传输内容保护(DTCP)系统规定了一种保护音频/视频娱乐内容的密码协议,防止音频/视频娱乐内容在通过诸如遵从IEEE 1394-1995标准的高性能串行总线之类的数字传输机制传输时被非法复制、截获和窜改。这种内容保护系统的一个部分是实现一种称为M6-S56密码的密码的内容密码子系统,可以用来对通过串行总线传输的内容进行加密/解密。这种密码可以以经变换的密码块链接方式使用,提供比普通的密码块链接更高的保密性。M6-S56密码是一种基于嬗变-替代(permutation-substitution)的公用密钥块密码算法。本发明的各种实施例包括一些实现M6-S56密码的工作在加密模式的内容密码子系统的方式。然而,其他实施例可以实现其他密码。此外,在这里讨论的内容密码子系统可以修改成提供解密能力。
本发明的实施例包括一种具有一个在这里称为“密码核心(cipher core)”的中央密码部件的内容密码子系统。本发明的一个实施例就硬件实现中的门数按小规模优化(为“小型密码核心”)。第二实施例按高吞吐量优化(为“快速密码核心”)。第三实施例按低噪声工作和容易综合优化(为“平静密码核心”)。
在本说明中,所谓本发明的“一个实施例”是指结合这个实施例揭示的具体特色、结构或特征包括在本发明的至少一个实施例内。因此,在本说明各处出现的“在一个实施例中”不一定是指同一个实施例。
图1为例示按照本发明的一个实施例设计的在一个内容保护系统10内采用密码的源设备和宿设备的示意图。源设备12可以通过一个诸如IEEE 1394总线16之类的高速串行总线与宿设备14连接,虽然本发明并不局限于此。源设备和宿设备可以是个人计算机(PC)、数字盒式磁带录像机(DVCR)、DVD播放机、数字电视机、计算机监视器、立体声设备、机顶盒,或者是任何能够存储、发送或显示数字内容的电子设备。在源设备12内至少有一个内容源18提供称为明文数据的未加密数字内容。内容源18可以是一个只读光盘存储器(CD-ROM)、数字通用光盘(DVD)、硬盘或任何其他数字存储媒体。内容例如可以是视频、音乐、软件或任何其他数字数据。或者,也可以内容源是一个对一个通信设备的通信接口,诸如卫星、电缆、光纤链路或无线链路之类。明文可以由内容密码子系统20接收,内容密码子系统20可以配置成用密码将明文加密成密文后再通过IEEE 1394接口发送。宿设备14包括一个相应的内容密码子系统22,配置成可以将密文解密成明文。明文可以由内容宿24例如通过在监视器上显示或者用扬声器产生声音输出呈递给用户(未示出)。因此,内容保护系统10保护了在各部分之间传输期间的数字内容。或者,数字内容还可以由存储在内容源18或内容宿24的加密方案加密,从而提供一个附加的安全层。
图2为按照本发明的一个实施例设计的源设备的各个部分之间的数据流图。源设备可以包括一个处理器30和一个存储器32。在一个实施例中,存储器包括一个动态随机存储器(DRAM),当然也可以用其他存储器。存储器可以存储至少一部分从内容源接收的数字内容。在数字内容是运动图像专家组(MPEG)数据时,存储器可以存储一些分组化的基本数据流(PES)。处理器30配合内容密码子系统20,将明文数据和至少一个密钥发送给内容密码子系统。内容密码子系统可以用这个密钥按照一种密码对明文数据加密。内容密码子系统将根据明文产生的密文通过一个同步链路发送给媒体接入控制器(MAC)34。在加密系统所用的总线是IEEE 1394总线时,MAC包括一个1394链路层控制器。密文数据可以再通过物理层(PHY)接口36传输到总线上。在加密系统所用的总线是IEEE 1394总线时,PHY包括一个1394物理层收发/仲裁器。在处理器30与MAC 34之间可以通过一个异步链路发送控制和状态信息以及验证和密钥交换(AKE)信息。
内容密码子系统从处理器30接收密钥、非加密数据(clear data)和加密数据的消息。密钥消息规定了以后用来对内容加密的密钥。非加密数据消息可以绕过密码,直接发送给MAC接口34而不加密。加密数据消息包括需加密的内容。在各实施例中,内容密码子系统提供输入缓冲,以便容忍加密延迟、自动IEEE 1394分组化和头标插入,以及机内测试和性能测定操作。在这些实施例的设计中始终采用同步设计技术,以保证在高时钟频率可靠工作和可靠综合。
内容密码子系统20的每个实施例都可以用作内容保护系统10的核心部分,可以用一个现场可编程门阵列(FPGA)、复合可编程逻辑器件(CPLD)、专用集成电路(ASIC)或定制数字集成电路实现。在所用的密码是M6-S56密码时,在这里揭示的每个实施例都执行M6-S56密码工作在如由M6数字内容保护规范(M6 Digital ContentProtection Specification,Volume 1,Revision 0.91,October8,1998,and Volume 2,Revision 0.8997,October 2,1998)所规定的经变换的密码块链接(C-CBC)模式的所有功能,当然本发明并不局限于此。
图3为按照本发明的一个实施例设计的内容密码子系统的示意图。处理器接口40可以与处理器30(在图3中未示出)连接,使密码子系统与处理器可以进行通信。数据和密钥消息、控制和状态、性能参数、机内测试数据和中断都通过这个接口传送。控制状态寄存器(CSR)和中断器42可以用来控制内容密码子系统的配置和通过处理器接口对处理器进行中断。数据输入先进先出(FIFO)缓存器44存储从处理器接收的流数据。性能监视器46监视内容密码子系统在处理从处理器接收的数据和将经加密的数据输出到IEEE 1394总线上的执行情况。反馈FIFO 48可以用来利用CSR对内容密码子系统进行测试。它提供了机内测试能力。CSR和中断器42、数据输入FIFO 44、性能监视器46和反馈FIFO 48这些部分在各种具体实施方式中都可以是按需要选择的。消息分析器50执行消息分析、净荷路由选择和自动分组化,因此从在处理器上运行的软件中摘出这些功能。它通过检验从处理器接收的消息的头标判读这些消息。正如以上所讨论的,消息包括可以绕过密码器的非加密数据消息、含有密码密钥的密钥消息和数据可以用密码处理的加密数据消息。在消息是一个密钥消息时,就将密钥数据净荷装入密码核心。在消息是一个加密数据消息时,就将净荷部分分成一些分组转到密码核心52,而将IEEE 1394同步分组头标绕过密码核心52直接发送给MAC接口。在消息是一个非加密数据消息时,就将净荷分成一些分组,头标和净荷都绕过密码核心52直接发送给MAC接口54。密码核心52执行加密处理,这将在下面详细说明。MAC接口54将密码核心连接到1EEE 1394的MAC 34(图3中未示出)上。
在一个实施例中,内容密码子系统20的密码核心52可以按使芯片资源使用最少优化。图4为按照本发明的一个实施例设计的按小规模优化的密码核心的示意图。这个小型M6密码核心的工作情况可以概括如下。
内容密码子系统的消息分析器将一个具有64比特的内容密钥装入密钥产生器62的密钥存储器60,每次一个16比特的字。这是通过将密钥数据加到明文输入线64上和将相应的Load Key Word(装密钥字)线66驱动到高电平来执行的,Load Key Word 1装密钥的最高位字(MSW),而Load Key Word 4装密钥的最低位字(LSW)。密钥函数68接收内容密钥和从密文反馈寄存器70反馈的密文作为输入参数,产生一个Pi函数密钥。在一个实施例中,密钥函数只用64比特的内容密钥的最低的55比特。密钥产生器内的密文反馈寄存器可以在系统复位或加电时初始清零(即置为零)。
然后,内容密码子系统的消息分析器将明文数据装入块汇编器72,每次一个16比特的字,首先是最高位字。这是通过将明文数据加到Plaintext input(明文输入)线64上和将Plaintext Ready(明文就绪)线74驱动到高电平来执行的。块汇编器通过将PlaintextRead(明文读取)线76驱动到高电平来确认所传送的每个字。块汇编器将明文的四个字汇编成一个64比特的块。正在汇编一个块时,块汇编器将Block in Progress(块在处理中)线78驱动到高电平,使线78保持高电平到整个汇编块通过多工器(MUX)82传送传送给了Pi函数80。随着一些块成为可用的,块汇编器启动一个在10轮控制器84内的状态机,开始对一个块进行加密。10轮控制器一启动,块汇编器就开始汇编下一个明文数据块。
10轮控制器84首先将一个汇编块从块汇编器72的装入Pi函数80。然后延迟一段时间,等Pi函数的输出稳定。Pi函数80根据明文和Pi函数密钥产生密文。然后,10轮控制器将Pi函数的输出再装入Pi函数,再次延迟。这个再装入和延迟的过程对于总共为10轮的可以再重复八次。Pi函数80接收一个明文块和一个密钥作为输入参数,输出一个密文块。可以有三个Pi函数变量。5C DTCP系统为每轮指定其中的一个变量。变量的选择由10轮控制器控制。
在第五轮,经部分加密的块可以装入密钥产生器62内的密文反馈寄存器70。可以用这个部分加密的块与内容密钥一起计算对下一个明文块加密需用的Pi函数密钥。在第十轮,可以将完全加密的密文装入块发送器86,更新密钥函数68的输出。然后,可以启动一个在块发送器内的状态机。随着完全加密的密文块成为可用时,块发送器就将它们分解成一个个16比特的字发送给MAC接口,每次一个字,首先是最高位字。这是通过将密文数据加到Ciphertext output(密文输出)线88上再将Ciphertext Ready(密文就绪)线90驱动到高电平来执行的。MAC接口通过将Ciphertext Read(密文读取)线92驱动到高电平来确认每字的传送。
一个加密帧的最后一个字可以在消息分析器随着最后一个明文数据字将Plaintext Last(明文最后)线94驱动到高电平时予以指示。此时,有两个可能的情况决定密码器将怎样继续进行处理。如果加密帧在一个完全块上终止(即,如果帧容量的比特数为64的整数倍),密码核心就用与前面的块一样的方式加密和发送这个块,只是随着密文的最后一个字可以将Ciphertext Last线96驱动到高电平。如果加密帧在一个不完全块上终止(即,帧容量的比特数不是64的整数倍),可以将前一个密文块再装入Pi函数,对它再执行10轮。然后,可以将结果中长度等于终止这个帧的不完全块的最低位部分与尚未加密的不完全块进行异或。然后,可以将最终结果(经加密的不完全块)发送给MAC接口,随着密文的最后一个字将Ciphertext Last线驱动到高电平。可以只将在终止这个帧的不完全块内存在的这些字传送给MAC接口。
内容密码子系统不许改变内容密钥,直到密码器处理了整个加密帧。一个帧的最后一个密文字一传送给外部系统,密钥产生器62内的密文反馈寄存器70就可以清零(即置零),Block in Progress线78可以驱动到低电平,从而可以着手处理一个新的加密帧。新的加密帧可以重用上一个内容密钥而不需要再装入密钥,也可以在装明文数据前将一个新的内容密钥装入密钥存储器60。
实现M6-S56 C-CBC密码的密码核心可以用超高速集成电路(VHSIC)硬件设计语言(VHDL)的语法分层描述。这种体系结构包括一些与同时的信号赋值互联的子部分和过程。每个部分可以是参数化的,以便需要时修改方便。在一个实施例中,密码核心的顶层设计实体可以实现为m6_small部分。图5为按照本发明的一个实施例设计的小型密码核心的结构方框图。
在一个实施例中,这个小型密码核心的输入输出端口可以用以下实体描述定义。--小型密码核心实体library ieee;use ieee.std_logic_1164.all;            --标准的九值逻辑系统use work.constants.all;                 --全局设计参数entity m6_small isport(clock:in std_logic;               --系统时钟
 clear:in std_Idgic;               --异步清零
 reset:in std_logic;               --同步复位
 ptin:in std_logic_vector(WORDSIZE-1 downto 0);--明文
 ptrdy:in std_logic;               --明文就绪
 ptlast:in std_logic;              --明文最后
 ptrd:out std_logic;               --明文读取
 ctout:out std_logic_vector(WORDSIZE-1 downto 0);-密文
 ctrdy:out std_logic;              --密文就绪
 ctlast:out std_logic;             --密文最后
 ctrd:in std_logic;                --密文读取
 bip:out std logic;                --块在处理中
 ckld1:in std_logic;               --内容密钥字1装入
 ckld2:in std_logic;               --内容密钥字2装入
 ckld3:in std_ldgic;               --内容密钥字3装入
 ckld4:in std_logic);              --内容密钥字4装入end m6_small;
除清零信号100外,所有信号可以相对时钟信号102同步。输入信号可以予以采样,而输出信号在时钟信号102的上升边处改变。清零信号100可以是异步的,用作通电复位。Synchronous Reset(同步复位)信号104起着与Clear信号相同的作用,只是它是与Clock(时钟)信号同步的。它将密码器复位到清零状态(例如,准备接收内容密钥和明文)。信号Ptin 106、Ptrdy 108、Ptlast 110和Ptrd 112包括明文输入接口。信号Ctout 114、Ctrdy 116、Ctlast 118和Ctrd 120包括密文输出接口。Ckld1-4信号122可以与Ptin信号一起用来装入一个56比特的内容密钥。Bip信号124包括一个给消息分析器的状态指示符。所有信号用的都是正逻辑。
图6为按照本发明的一个实施例设计的内容密钥装入处理的波形图。一个56比特的内容密钥可以通过将密钥数据加到Ptin输入信号106上和将相应的Ckld1-4信号122驱动为高电平装入密码核心,Ckld1装入最高位字(MSW),而Ckld4装入最低位字(LSW)。图6所示的波形例示了一个内容密钥为Ox1234567890abcd的例子的装入情况。
图7为按照本发明的一个实施例设计的明文装入处理的波形图。明文数据可以通过将明文数据加到Ptin 106输入信号上再将Ptrdy108驱动到高电平每次将一个16比特的字装入密码核心,首先是最高位字。密码核心通过将Ptrd 112驱动到高电平确认每个字的传送。通过随着最后一个明文数据字将Ptlast 110驱动到高电平指示这是一个密码帧的最后一个明文字。图7所示的波形例示了将一个明文帧装入密码核心的情况。
图8为按照本发明的一个实施例设计的密文卸载处理的波形图。密文可以通过每当检测到Ctrdy 116为高电平时从Ctou端口114接收密文数据,从密码核心每次卸载一个16比特的字,首先是最高位字。MAC接口通过将Ctrd 120驱动到高电平来确认每个字的传送。可以在随着最后一个密文数据字检测到Ctlast 118为高电平时,指出这是一个密码帧的最后一个密文字。图8所示的波形例示了从密码器卸载一个密文帧的情况。
再来看图5,块汇编器部分Blockasm 130对将消息分析器装入的16比特明文字汇编成64比特密码块进行控制,每当一个块就绪时就启动10轮控制器,控制对终止一个密码帧的不完全块加密,以及在密码帧之间对密文反馈寄存器清零。在一个实施例中,这个块汇编器的输入输出端口可以用以下实体描述定义。--块汇编器实体library ieee;use ieee.std_logic_11 64.all;entity blockasm isport(clock in std_logic;                        --系统时钟
 clear:in std_logic;                       --异步清零
 reset:in std_logic;                       --同步复位
 ptrdy:in std_logic;                       --明文就绪
 ptlast:in std_logic;                      --最后一个明文字
 bipin1:in std_logic;                      --块在处理中(第一级)
 bipin2:in std_logic;                      --块在处理中(第二级)
 bipin3:in std_logic;                      --块在处理中(tx级)
 ptrd:out std_logic;                       --明文读取
 ldwrd1:out std_logic;                     --装入字1
 ldwrd2:out std_logic;                     --装入字2
 ldwrd3:out std_logic;                     --装入字3
 ldwrd4:out std logic;                     --装入字4
 ldpart:out std_logic;                     --装入不完全块
 init:out std_logic;                       --密码器初始化
 go:out std_logic;                         --密码器运行
 partwrd1:out std_logic;                   --不完全块(1字)
 partwrd2:out std_logic;                   --不完全块(2字)
 partwrd3:out std_logic;                   --不完全块(3字)
 lastblk:out stdJogic;                     --最后一个块
 bip:out std_logic);                       --块在处理中end blockasm;
输入信号ptrdy、ptlast和输出信号ptrd可以用来作为消息分析器传送明文数据的握手信号。输入信号bipin1-3为块汇编器提供10轮控制器和块发送部分的状态。输出信号ldwrd1-4可以在过程ptblk_proc 132中用来按次序将明文字装入明文块。输出信号ldpart使过程ptblk_proc再装入先前加密的密文块进行再加密(这只在一个密码帧在一个不完全块内终止时使用)。输出信号init可以在过程ctpart_proc 134中用来对密文反馈寄存器清零。输出信号go可以用来启动10轮控制器内的状态机。输出信号partwrd1-3和lastblk可以在过程ctout_proc 136中由块发送部分用来控制一个密码帧的最后一个块的传输和不完全块的加密。输出信号bip向消息分析器指出密码器的状态。
10轮控制器部分r10ctrl 135控制各个64比特明文块的加密。它包括一个规定每轮的持续时间、使各信号稳定通过Pi函数的定时器和一个掌握各轮的状态机。在一个实施例中,这个10轮控制器器的输入输出端口可以用以下实体描述定义。--10轮控制器实体library ieee;use ieee.std_logic_11 64.alI;entity r10ctrl isgeneric(ROUNDDLY:positive=3;--轮延迟
     CNTRBITS:positive=2);--轮延迟计数器比特Port(clock:in std_logic;     --系统时钟
  clear:in std_logic;      --异步清零
  reset:in std_logic;      --同步复位
  go:in std_logic;         --密码器运行
  bipin:in std_logic;      --块在处理中(下一级)
  blockrdy:out_stdjogic;   --密文块完成
  enrnd1:out std_logic;  --启动轮1
  enrnd2:out std_logic;  --启动轮2
  enrnd3:out std logic;  --启动轮3
  enrnd4:out std_logic;  --启动轮4
  enrnd5:out std_logic;  --启动轮5
  enrnd6:out std_logic;  --启动轮6
  enrnd7:out std_logic;  --启动轮7
  enrnd8:out std_logic;  --启动轮8
  enrnd9:out std_logic;  --启动轮9
  enrnd10:out std_logic; --启动轮10
  ldpart:out std_loyic;  --装部分结果寄存器
  ldrslt:out std_logic;  --装最终结果寄存器
  ldfdbk:out std_logic;  --装反馈寄存器
  ldnxtblk:out std_logic;--装下一个明文块
  bip:out std_logic);    --块在处理中end r10ctrl;
由块汇编器驱动的输入信号go启动10轮控制器的状态机。输入信号bipin为10轮控制器提供块发送部分的状态。输出信号blockrdy可以用来在一个块已加密后对块发送器内的状态机初始化。输出信号enrnd1-10可以用来选择每轮所用的Pi函数变量。输出信号ldpart可以在过程ctpart_proc 134中用来将经第五轮部分加密的密文块装入密文反馈寄存器。输出信号ldrslt可以在过程ctrslt_proc 140中用来装入经完全加密的密文块。输出信号ldfdbk和ldnxtblk可以在过程ptblk_proc 132中用来为Pi函数选择数据源。Ldfdbk选择Pi函数的输出,为执行下一轮作准备。Ldnxtblk选择块汇编器的输出,以对下一个明文块进行加密。输出信号bip为块汇编器提供10轮控制器的状态。
在本发明中提供了Pi函数的两个实施例。在一个实施例中,pi_comb包括一个组合式的Pi函数。一些输入信号组合地通过算术运算异步到达输出线。这个实施例可以在要求吞吐量高时使用。这个实施例可用于小型密码核心和快速密码核心。在另一个实施例中,pi_seq包括一个相继式的Pi函数。一些输入信号按时钟相继通过每个接连的算术运算同步地到达输出线。这个实施例可以在要求低噪声工作时使用。这个实施例可用于平静密码核心。
在一个实施例中,这个组合Pi函数的输入输出端口可以用以下实体描述定义。--组合Pi函数实体library ieee;use ieee.std_logic_1164.aIl;    --IEEE标准的九值逻辑系统use work.constants.all;         --全局常量entity pi_comb isgeneric(S1 positive:=2;      --旋转指数1
    S2 positive:=11;      --旋转指数2
    S3 positive:=17);     --旋转指数3Port(pi123:in std_logic;       --pi变量123允许
pi132:in std_logic;        --pi变量132允许
pi213:in std_logic;        --pi变量213允许
pi312:in std_logic;        --pi变量312允许
key1:in std_logic_vector(BLOCKSIZE/2-1 downto 0);--密钥1
key2:in std_logic_vector(BLOCKSIZE/2-1 downto 0);--密钥2
input:in std_logic_vector(BLCKSIZE-1 downto 0);--输入块
output:out std_logic_vector(BLOCKSIZE-1 downto 0));--输出块end pi_comb;
输入信号pi 123、pi 132、pi 213和312选择每轮的Pi变量。输入信号key1-2包括两个32比特的Pi函数密钥。输入包括64比特的Pi函数输入块,输出包括64比特的Pi函数输出块。
在一个实施例中,这个相继Pi函数的输入输出端口可以用以下实体描述定义。--相继Pi函数实体library ieee;use ieee.std_logic_1164.all;    --IEEE标准的九值逻辑系统use work.constants.all;         --全局常量entity pi_seq isgeneric(S1:positive:=2;    --第一旋转指数
     S2:positive:=11;    --第二旋转指数
     S3:positive:=17);   --第三旋转指数
Port(clock:in std_logic;   --系统时钟
    clear:in std_logic;    --异步清零
    pi123:in std_logic;    --pi变量123允许
    pi132:in std_logic;    --pi变量132允许
    pi213:in std_logic;    --pi变量213允许
    pi312:in std_logic;    --pi变量312允许
    key1:in std_logic_vector(BLOCKSIZEI2-1 downto 0);--密钥1
    key2:in std_logic_vector(BLOCKSIZE/2-1 downto 0);--密钥2
    input:in std_logic_vector(BLOCKSIZE-1 downto 0);--输入块
    output:out std_logic_vector(BLOCKSIZE-1 downto0));--输出块end pi_seq;
输入信号pi 123、pi 132、pi 213和312选择每轮的Pi变量。输入信号key1-2包括两个32比特的Pi函数密钥。输入包括64比特的Pi函数输入块,输出包括64比特的Pi函数输出块。
块发送部分blocktx 142对将64比特的密文块分解成16比特的字进行控制,将这些字传送给MAC接口。在一个实施例中,这个块发送器的输入输出端口可以用以下实体描述定义。--块发送器实体library ieee;use ieee.std_logic_1164.all;entity blocktx isport(clock:in std_logic;               --系统时钟
   clear:in std_logic;               --异步清零
   reset:in std_logic;               --同步复位
   partrdy1:in std_logic;            --不完全块就绪(一个字)
   partrdy2:in std_logic;            --不完全块就绪(两个字)
   partrdy3:in std_logic;            --不完全块就绪(三个字)
   blockrdy:in std_logic;            --密文块就绪
   lastblk:in std_logic;             --帧的最后一个密文块
   ctrd:in std_Iogic;                --密文读取
blkwrd1:out std_logic;               --密文块字1选择
blkwrd2:out std_logic;               --密文块字2选择
blkwrd3:out std_logic;               --密文块字3选择
blkwrd4:out std_logic;               --密文块字4选择
partwrd1:out std_logic;              --不完全块字1选择
partwrd2:out std_logic;              --不完全块字2选择
partwrd3:out std_logic;              --不完全块字3选择
ctrdy:out std_logic;                 --密文就绪
ctlast:out std_logic;                --帧的最后一个密文字
bip:out std_logic);                 --块在处理中end blocktx;
由10轮控制器驱动的输入信号blockrdy启动块发送部分的状态机。由块汇编器驱动的输入信号partrdy1-3和lastblk指出密码帧状态的终止。输入信号partrdy1-3分别指出在由一个、两个或三个字组成的不完全块内终止的帧。输入信号Lastblk指出帧在一个完全块内终止。输出信号ctrdy、ctlast和输入信号ctrd可以用来作为数据传送时与MAC接口的握手信号。输出信号blkwrd1-4和partwrd1-3可以在过程ctout_proc 136中用来选择将哪个密文字传送给MAC接口。输出信号Blkwrd1-4分别选择密文字1(MSW)至4(LSW)。输出信号Partwrd1-3分别选择不完全块密文字1至3。输出信号bip为10轮控制器和块汇编器提供块发送部分的状态。
在本发明的另一个实施例中,密码核心可以是按高吞吐量优化的。虽然这个实施例在规模上要比小型密码核心大(即,耗费较多的芯片资源),但它仍保持在可用一个FPGA或CPLD实现的合理的规模内。图9为按照本发明的一个实施例设计的按速率优化的密码核心的示意图。快速密码核心的工作情况与小型密码核心的类似。一个差别是10轮控制器用了两个五轮控制器代替,而且双重配置了Pi函数和有关电路。这种体系结构将密码器分成两级,每级执行5轮,总共为10轮。第一级执行5轮后,将经部分加密的第五轮块装入密钥产生器内的密文反馈寄存器。第二级装入来自第一级的经部分加密的第五轮块和Pi函数密钥后执行第六至十轮。第二级一启动,第一级就开始对下一个块加密。这样,密码核心每次同时处理两个块,从而使吞吐量接近单级实现的两倍。加密成帧以与小型密码核心类似的方式处理。差别是在一个在一个不完全块内终止的帧上,前一块密文可以根据第二级恢复和传回第一级。块汇编和传输处理与上面讨论到的小型密码核心所提供的处理类似。
在一个实施例中,快速密码核心的顶层设计实体包括m6_fast部分。图10为按照本发明的一个实施例设计的快速密码核心的结构方框图。在一个实施例中,这个快速密码核心的输入输出端口可以用以下实体描述定义。--快速密码核心实体library ieee;use ieee.std_logic_1164.all;         --标准的九值逻辑系统use work.constants.all;              --全局设计参数entity m6_fast isport(clock:in std_logic;          --系统时钟
  clear:in st:d_logic;         --异步清零
  reset:in std_logic;           --同步复位
  ptin:in std_logic_vector(WORDSIZE-1 downto 0);--明文
  ptrdy:in std_logic;           --明文字就绪
  ptlast:in std_logic;          --最后一个明文字就绪
  ptrd:out std_logic;           --明文字读取
  ctout:out std_logic_vector(WORDSIZE-1 downto 0);--密文
  ctrdy:out std_logic;          --密文字就绪
  ctlast:out std_logic;         --最后一个密文字就绪
  ctrd:in std_logic;            --密文字读取
  bip:out std_logic;            --块在处理中
  ckld1:in std_logic;           --内容密钥字1装入
  ckld2:in std_logic;           --内容密钥字2装入
  ckld3:in std_logic;           --内容密钥字3装入
  ckld4:in std_logic);          --内容密钥字4装入
end m6_fast;
快速密码核心的I/O接口与在小型密码核心中所用的相同。快速密码核心的块汇编器部分、组合和相继Pi函数部分、块发送部分都与在小型密码核心中所用的相同。
两个5轮控制器部分r5ctrl控制各个64比特明文块的加密。它们包括一个规定每轮的持续时间、使各信号稳定通过Pi函数的定时器和一个掌握各轮的状态机。在快速密码核心中用两个5轮控制器和两个Pi函数来建立一个两级的流水线。第一级执行轮1-5,而第二级执行轮6-10。这样,可以同时处理两个明文块,有效地使密码器的吞吐量加倍。在一个实施例中,这个5轮控制器的输入输出端口可以用以下实体描述定义。--5轮控制实体library ieee;use ieee.std_logic_1164.all;entity r5ctrl isgeneric(ROUNDDLY:positive:=3; --轮延迟
      CNTRBITS:positive:=2);--轮延迟计数器比特Port(clock:in std_logic;        --系统时钟
  clear:in std_logic;         --异步清零
  reset:in std_logic;         --同步复位
  go:in std_logic;            --密码器运行
  bipin:in std_logic;         --块在处理中(下一级)
  blockrdy:out std_logic;     --密文块完成
  enrnd1:out std_logic;       --启动轮1
  enrnd2:out std_logic;       --启动轮2
  enrnd3:out std_logic;       --启动轮3
  enrnd4:out std_logic;       --启动轮4
  enrnd5:out std_logic;       --启动轮5
  ldrslt:out std_logic;       --装结果寄存器
  ldfdbk:out std_logic;       --装反馈寄存器
  ldnxtblk:out std_logic;     --装下一个明文块
  bip:out std_logic);         --块在处理中end r5ctrl;
输入信号go启动5轮控制器的状态机。输入信号bipin为5轮控制器提供下一级的状态。输出信号blockrdy用来在处理了一个块后立即启动下一轮。输出信号enrnd1-5可以用来选择每轮所用的Pi函数变量。输出信号ldrslt可以用来将一个块在处理完成后立即存储起来。输出信号ldfdbk和ldnxtblk可以用来为Pi函数选择数据源。输出信号Ldfdbk选择Pi函数的输出,为执行下一轮作准备。输出信号Ldnxtblk选择前级的输出,以开始处理下一个块。输出信号bip为下一级提供5轮控制器的状态。
因此,以上说明了内容密码子系统的密码核心的三个实施例。根据系统要求,可以从这些实施例中选择一个来保护要通过一个诸如IEEE 1394总线之类的数字传输线路传送的数据。
虽然本发明是结合一些例示性的实施例进行说明的,但这说明并不是限制性的。对于熟悉该技术领域的人员来说,这些例示性的实施例的各种变型和本发明的其他实施方式都是显而易见的,因此都应属于本发明的专利保护范围之内。

Claims (4)

1.一种对数据加密的设备,所述设备包括:
一个接收数据字和将这些数据字汇编成块的块汇编器;
一个与块汇编器连接、根据一个加密函数密钥对块加密的加密函数;
一个与加密函数连接、接收经加密的块和将经加密的块分解成加密数据字的块发送器;以及
一个与块汇编器、加密函数和块发送器连接、对加密函数对块的多轮加密进行控制的控制器。
2.一种对数据加密的设备,所述设备包括:
一个接收数据字和将这些数据字汇编成块的块汇编器;
与块汇编器连接、根据一个加密函数密钥对块加密的第一和第二加密函数;
一个与第一和第二加密函数连接、接收经加密的块和将经加密的块分解成加密数据字的块发送器;
一个与块汇编器和第一加密函数连接、对第一加密函数对块的第一组多轮加密进行控制的第一控制器。以及
一个与第一控制器和第二加密函数连接、对第二加密函数对块的第二组多轮加密进行控制的第二控制器。
3.一种密码系统,所述密码系统包括:
一个将明文数据加密成密文数据的密码核心;以及
一个与密码核心连接、将密文数据传送到一个总线上的总线接口。
4.一种对数据加密的设备,所述设备包括:
接收数据字、将数据字字汇编成块的装置;
根据一个加密函数密钥对块加密的装置;
接收经加密的块、将经加密的块分解成加密数据字的装置;以及控制对块的多轮加密的装置。
CNB008104786A 1999-05-17 2000-05-02 内容保护系统中的密码核心 Expired - Fee Related CN1160901C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/313,133 1999-05-17
US09/313,133 US6324288B1 (en) 1999-05-17 1999-05-17 Cipher core in a content protection system

Publications (2)

Publication Number Publication Date
CN1361958A true CN1361958A (zh) 2002-07-31
CN1160901C CN1160901C (zh) 2004-08-04

Family

ID=23214522

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB008104786A Expired - Fee Related CN1160901C (zh) 1999-05-17 2000-05-02 内容保护系统中的密码核心

Country Status (7)

Country Link
US (1) US6324288B1 (zh)
EP (1) EP1179242B1 (zh)
CN (1) CN1160901C (zh)
AU (1) AU4692900A (zh)
DE (1) DE60021560T2 (zh)
TW (1) TW490968B (zh)
WO (1) WO2000070817A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1856951B (zh) * 2003-09-30 2011-03-23 思科技术公司 将链路层安全性集成到物理层收发器中的方法和装置
CN101346930B (zh) * 2005-12-23 2012-06-20 纳格拉影像股份有限公司 安全的片上系统

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7730300B2 (en) 1999-03-30 2010-06-01 Sony Corporation Method and apparatus for protecting the transfer of data
US6760438B1 (en) * 1999-07-01 2004-07-06 Nortel Networks Limited System and method for Viterbi decoding on encrypted data
US7039614B1 (en) 1999-11-09 2006-05-02 Sony Corporation Method for simulcrypting scrambled data to a plurality of conditional access devices
GB9930145D0 (en) 1999-12-22 2000-02-09 Kean Thomas A Method and apparatus for secure configuration of a field programmable gate array
US20070288765A1 (en) * 1999-12-22 2007-12-13 Kean Thomas A Method and Apparatus for Secure Configuration of a Field Programmable Gate Array
US7240218B2 (en) * 2000-02-08 2007-07-03 Algotronix, Ltd. Method of using a mask programmed key to securely configure a field programmable gate array
US20010048747A1 (en) * 2000-04-27 2001-12-06 O'brien Terry Method and device for implementing secured data transmission in a networked environment
US7225159B2 (en) * 2000-06-30 2007-05-29 Microsoft Corporation Method for authenticating and securing integrated bookstore entries
US20020046045A1 (en) * 2000-06-30 2002-04-18 Attila Narin Architecture for an electronic shopping service integratable with a software application
JP2002158654A (ja) * 2000-11-17 2002-05-31 Hitachi Ltd 情報処理装置、表示装置、デジタルコンテンツ配布システム、および、デジタルコンテンツ配布・出力方法
WO2002051058A2 (en) * 2000-12-19 2002-06-27 At & T Wireless Services, Inc. Synchronization of encryption in a wireless communication system
ITMO20010038A1 (it) * 2001-03-06 2002-09-06 Elopak Systems Apparato e metodo per la lavorazione di materia plastica e contenitore di prodotto fluido
US7188342B2 (en) * 2001-04-20 2007-03-06 Microsoft Corporation Server controlled branding of client software deployed over computer networks
US7127619B2 (en) * 2001-06-06 2006-10-24 Sony Corporation Decoding and decryption of partially encrypted information
US7895616B2 (en) 2001-06-06 2011-02-22 Sony Corporation Reconstitution of program streams split across multiple packet identifiers
US7747853B2 (en) 2001-06-06 2010-06-29 Sony Corporation IP delivery of secure digital content
GB0114317D0 (en) * 2001-06-13 2001-08-01 Kean Thomas A Method of protecting intellectual property cores on field programmable gate array
US20030039354A1 (en) * 2001-08-27 2003-02-27 Kimble David E. FIFO architecture with in-place cryptographic service
US7823174B2 (en) 2002-01-02 2010-10-26 Sony Corporation Macro-block based content replacement by PID mapping
US7292690B2 (en) 2002-01-02 2007-11-06 Sony Corporation Video scene change detection
US7765567B2 (en) 2002-01-02 2010-07-27 Sony Corporation Content replacement by PID mapping
US8051443B2 (en) 2002-01-02 2011-11-01 Sony Corporation Content replacement by PID mapping
US7292691B2 (en) * 2002-01-02 2007-11-06 Sony Corporation Progressive video refresh slice detection
US8818896B2 (en) 2002-09-09 2014-08-26 Sony Corporation Selective encryption with coverage encryption
KR100446533B1 (ko) * 2002-10-08 2004-09-01 삼성전자주식회사 무선 통신 시스템에서 암호화 장치 및 방법
US7412053B1 (en) 2002-10-10 2008-08-12 Silicon Image, Inc. Cryptographic device with stored key data and method for using stored key data to perform an authentication exchange or self test
US7796752B2 (en) * 2002-11-04 2010-09-14 Marvell International Ltd. Cipher implementation
US7724907B2 (en) 2002-11-05 2010-05-25 Sony Corporation Mechanism for protecting the transfer of digital content
US8572408B2 (en) 2002-11-05 2013-10-29 Sony Corporation Digital rights management of a digital device
US8645988B2 (en) 2002-12-13 2014-02-04 Sony Corporation Content personalization for digital content
US8667525B2 (en) 2002-12-13 2014-03-04 Sony Corporation Targeted advertisement selection from a digital stream
US7853980B2 (en) 2003-10-31 2010-12-14 Sony Corporation Bi-directional indices for trick mode video-on-demand
KR20050075877A (ko) * 2004-01-16 2005-07-25 삼성전자주식회사 데이터 재전송 장치 및 방법
KR100967880B1 (ko) * 2004-11-26 2010-07-05 삼성전자주식회사 M6 블록암호시스템
US8041190B2 (en) 2004-12-15 2011-10-18 Sony Corporation System and method for the creation, synchronization and delivery of alternate content
US7895617B2 (en) 2004-12-15 2011-02-22 Sony Corporation Content substitution editor
US20070083467A1 (en) * 2005-10-10 2007-04-12 Apple Computer, Inc. Partial encryption techniques for media data
US8185921B2 (en) 2006-02-28 2012-05-22 Sony Corporation Parental control of displayed content using closed captioning
US20080189558A1 (en) * 2007-02-01 2008-08-07 Sun Microsystems, Inc. System and Method for Secure Data Storage
US8538012B2 (en) * 2007-03-14 2013-09-17 Intel Corporation Performing AES encryption or decryption in multiple modes with a single instruction
US8538015B2 (en) 2007-03-28 2013-09-17 Intel Corporation Flexible architecture and instruction for advanced encryption standard (AES)
US8218574B2 (en) * 2008-05-06 2012-07-10 Harris Corporation Scalable packet analyzer and related method
US8582456B2 (en) * 2009-10-27 2013-11-12 Samsung Electronics Co., Ltd Method and system for digital content protection locality check with adaptive timeline in wireless communication systems
US8335314B2 (en) * 2010-07-27 2012-12-18 Sap Aktiengesellschaft Adaptive and secure modular connection
US8687580B2 (en) 2010-11-22 2014-04-01 Samsung Electronics Co., Ltd. Method and system for minimizing latencies for content protection in audio/video networks
US9497171B2 (en) 2011-12-15 2016-11-15 Intel Corporation Method, device, and system for securely sharing media content from a source device
CN104170312B (zh) 2011-12-15 2018-05-22 英特尔公司 用于使用硬件安全引擎通过网络进行安全通信的方法和设备
US20130315387A1 (en) * 2012-05-25 2013-11-28 Yi-Li Huang Encryption method characterized by three dimensional computation, feedback control, and dynamic transition box
US8856515B2 (en) * 2012-11-08 2014-10-07 Intel Corporation Implementation of robust and secure content protection in a system-on-a-chip apparatus
US11838402B2 (en) 2019-03-13 2023-12-05 The Research Foundation For The State University Of New York Ultra low power core for lightweight encryption

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8203737A (nl) * 1982-09-27 1984-04-16 Nederlanden Staat Inrichting voor vercijfering van digitale signalen met een of meer des-schakelingen.
JPH0362630A (ja) * 1989-07-31 1991-03-18 Nec Eng Ltd 衛星通信方式
US5161191A (en) * 1990-11-27 1992-11-03 Gupta Shanti S Encryption system for time division multiplexed networks
US5673319A (en) * 1995-02-06 1997-09-30 International Business Machines Corporation Block cipher mode of operation for secure, length-preserving encryption
US5594797A (en) * 1995-02-22 1997-01-14 Nokia Mobile Phones Variable security level encryption
US5838794A (en) * 1996-01-11 1998-11-17 Teledyne Electronic Technologies Method and apparatus for inter-round mixing in iterated block substitution systems
US5943319A (en) * 1996-04-04 1999-08-24 Lucent Technologies Inc. Packet format interface for telecommunication instruments
US5835599A (en) * 1996-04-15 1998-11-10 Vlsi Technology, Inc. Muti-cycle non-parallel data encryption engine
US6026490A (en) * 1997-08-01 2000-02-15 Motorola, Inc. Configurable cryptographic processing engine and method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1856951B (zh) * 2003-09-30 2011-03-23 思科技术公司 将链路层安全性集成到物理层收发器中的方法和装置
CN101346930B (zh) * 2005-12-23 2012-06-20 纳格拉影像股份有限公司 安全的片上系统

Also Published As

Publication number Publication date
US6324288B1 (en) 2001-11-27
AU4692900A (en) 2000-12-05
EP1179242B1 (en) 2005-07-27
DE60021560T2 (de) 2006-05-24
TW490968B (en) 2002-06-11
EP1179242A1 (en) 2002-02-13
WO2000070817A1 (en) 2000-11-23
DE60021560D1 (de) 2005-09-01
CN1160901C (zh) 2004-08-04

Similar Documents

Publication Publication Date Title
CN1160901C (zh) 内容保护系统中的密码核心
CN1324831C (zh) 加密/解密系统和加密/解密方法
CN1175614C (zh) 数字视听数据发送装置、接收装置、收发系统和媒体
CN1731719A (zh) 数据流传输的保密方法、程序产品、存储装置和节点
US7746846B2 (en) Method and system for a gigabit Ethernet IP telephone chip with integrated security module
CN1136692C (zh) 数据变换装置及数据变换方法
CN1901512A (zh) 信息通信系统、设备和方法、以及计算机程序
CN1653778A (zh) 数据传送装置、数据接收装置、数据传送系统以及数据传送方法
CN1313687A (zh) 传输部件,接收部件,传输方法,接收方法和记录媒体
CN1486557A (zh) 无线电通信系统、无线电通信控制设备和无线电通信控制方法、存储介质和计算机程序
CN1568597A (zh) 加密、解码及无线通信装置
CN1909023A (zh) 发送/接收系统和方法、发送/接收装置和方法及所用程序
CN1867889A (zh) 数据转换器
CN1336053A (zh) 信息传输系统及方法、发送配置及接收装置、数据处理装置及数据处理方法以及记录媒体
CN1910923A (zh) 用于内容保护的方法和条件存取系统
JP2003244128A (ja) 暗号復号通信用半導体装置および記録再生機器
CN1897517A (zh) 加密、解密电路
CN1275846A (zh) 数据加密装置和方法
CN1909450A (zh) 用于处理信息的方法、设备和程序
CN1692649A (zh) 视频信号处理系统、视频信号处理装置和方法、记录媒体、以及程序
CN1602615A (zh) 分组路由设备和分组路由方法
CN1523924A (zh) Av数据无线通信系统、通信装置、和电子设备
CN1307417A (zh) 内容信息的传送与记录方法、装置、媒体及解密方法与装置
CN100342683C (zh) 在值域上有限制的数据的加密/解密方法及其装置
CN1190076C (zh) 数据流处理器

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040804

Termination date: 20100502