TW490801B - Method for forming isolation gap between bit line and capacitor - Google Patents

Method for forming isolation gap between bit line and capacitor Download PDF

Info

Publication number
TW490801B
TW490801B TW90104555A TW90104555A TW490801B TW 490801 B TW490801 B TW 490801B TW 90104555 A TW90104555 A TW 90104555A TW 90104555 A TW90104555 A TW 90104555A TW 490801 B TW490801 B TW 490801B
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
capacitor
dielectric
bit line
Prior art date
Application number
TW90104555A
Other languages
Chinese (zh)
Inventor
You-Luen Du
Jia-Shiung Tsai
Ming-Hua Ji
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW90104555A priority Critical patent/TW490801B/en
Application granted granted Critical
Publication of TW490801B publication Critical patent/TW490801B/en

Links

Landscapes

  • Semiconductor Memories (AREA)

Abstract

The present invention discloses a manufacturing method for forming isolation gap between the bit line plug and the capacitor of dynamic random access memory (DRAM). At first, capacitor is defined on the semiconductor substrate, in which the capacitor is on the first dielectric layer and is connected with the source/drain of the lower transistor through the first conducting plug inlaid in the first dielectric layer. The second dielectric layer is formed on the periphery of the capacitor so as to cover the first dielectric layer and the second conducting plug in the lower part, and, in addition, part of the sidewall outer edge of the capacitor is exposed. Then, the third dielectric layer can be formed along the capacitor surface. The fourth dielectric layer is deposited flatly on the third dielectric layer. After that, opening hole of the bit line is defined in between the films to expose part of the upper surface of the second conducting plug. A selectively etching process is then conducted onto the third dielectric layer to make the third dielectric layer shrink along sidewall of opening hole in the bit line such that the isolation gap is formed at the sidewall edge of the capacitor. After that, the spacer can be formed on the sidewall of opening hole in the bit line and bit line plug can be manufactured inside the bit line opening hole.

Description

490801490801

五、發明說明(1) 發明領域: 本發明與一種具有位元線下電 bit "ne ;CUB)的動態隨機存取;=1 : un;er 特別是-種在位元線與電容器間製; 線寄生電容之製程#〉去。 表作工隙^離以降低位兀 發明背景: 隨 (ULSI) 趨勢, 不斷的 了前所 以積體 其記憶 藉著使 數位資 線、字 的尺寸 少,故 存取記 臨的問 形下, 著半導體工業持 的開發與設計中 各式元件之尺寸 縮小,也導致在 未有之難題,且 電路中常見的動 胞(c e 1 1)時,往 電容器與電晶體 訊儲存在電容器 語線陣列來存取 降低至次微米以 其儲存載子之性 憶體(DRAM)中的 題除了在元件尺 如何提昇電容儲 續的進展,在超大型積體電路 匕為了符合高密度積體電路之設計 白降至次微米以下。並且由於元件 $行相關半導體製程時,往往遭遇 製&複雜程度亦不斷提高。例如, 態隨機存取記憶體(DRAM)而言,在 在包含了電晶體與電容的製程,並 的源極/汲極產生電性接觸,而將 中’再藉由元件中的電晶體、位元 電容器之數位資料。是以,當元件 下時,DRAM中電容的尺寸也隨之減 能亦相對降低。因此,對動態隨機 記憶胞(memory cell)而言,所面 寸不斷縮小且積集度持續提高之情 存能力外,亦需考量距離過近的各V. Description of the invention (1) Field of the invention: The present invention relates to a dynamic random access with bit line power off bit "ne;CUB); = 1: un; er especially-a kind between the bit line and the capacitor Process of line parasitic capacitance #〉 Go. Table work gap ^ to reduce the position of the background of the invention: With the (ULSI) trend, it keeps on building up so that its memory is reduced by the size of the digital line and the word, so the question of access to memory is The reduction in the size of various components in the development and design of the semiconductor industry has also led to problems that have not been encountered, and when the common mobile cells (ce 1 1) in the circuit, the capacitors and transistors are stored in the capacitor speech line array. The problem in access memory reduced to sub-micron and its memory carrier (DRAM) is in addition to the progress of how to increase the capacitor storage capacity in the element size. Below submicron. And because of the semiconductor manufacturing process, the complexity of the system is often increasing. For example, in terms of state random access memory (DRAM), in a process that includes transistors and capacitors, the source / drain makes electrical contact. Digital data for bit capacitors. Therefore, when the device is underneath, the size of the capacitor in the DRAM is also reduced and the energy is relatively reduced. Therefore, for a dynamic random memory cell, in addition to its capacity to keep shrinking in size and increasing its accumulation, it is also necessary to consider each

第4頁 五、發明說明(2) ,,電元件間所產生的寄生電容,以增加電容的可靠度, 〃訊號傳遞的精準程度。 請參照 有位元線下 述。首先, lines)使用 14。然後, 區域1 4上, 入多晶碎材 積第二氧化 再定義底部 吩晶粒表面 第一圖 電容器 在半導 的閘極 可沉積 並藉著 料,而 層2 0於 電極開 的底部 微影製程 形成圖中 多晶矽插塞1 8與第 口圖案於 電極22於 ,此圖顯示了根據傳 之DRAM記憶胞。典型 體底材1 0上製作出作 結構1 2,以及位於其 第一氧化層1 6於閘極 定義接觸孔 的多晶石夕插 其中,然後 開口圖案表 統製程,所製作具 的製程步驟如下所 為字語線(word 間的源/汲極區域 結構12與源/汲極 於其中。再藉著填 塞18。接著,可沉 氧化層1 6上表面, 可沉積具有半球狀 面。 存能力,在製作 回敍刻程序,而 積電容介電層24 於電容介電層24 冠型開口中。接 第二氧化層2 2 義位元線插塞的 晶矽插塞1 8上表 一般來就,為了進一步的提昇電容儲 完底部電極22後,會對第二氧化層2〇進行 曝露出更多的底部電極22表面。隨後,沉 於底部電極22外表面,並定義頂部電極26 表面上,且填充於底部電極22所構成的皇 著’可沉積第三氧化層28於頂部電極26與 上’再藉著進行韻刻程序,而形成用來定 開口圖案30 ’以曝露出位於中央部份的多 面。 490801 五、發明說明(3) 值得注意的 電容器所佔的面 短。是以為了有 極22時,往往會 述開口圖案3 0的 困難。當然,除 回蝕刻第二氧化 露出來,而達到 於底部電極2 2側 圖案30,而容易 Η 定,由 積變得 效增加 增加其 縱橫比 了增加 層2 0的 增加表 壁外緣 與位元 於元件維度的 更小,且彼此 電容儲存能力 南度。然而, ,而增加了後 電容器高度外 步驟,使底部 面積之效果。 的頂部電極2 6 線插塞間產生 持續縮減,使得每個 間的距離亦變得更 ’在製作上述底部電 如此一來亦會提高上 續製作位元線插塞的 ’也可藉著進行上述 電極2 2的外緣側壁曝 然而如此一來,覆蓋 ’將會更為接近開口 寄生電容。 實際上,隨著元件積集度不斷的提昇,由於位元線插 塞與底部電極2 2或頂部電極2 6的距離愈趨接近,是以其間 的位7L線電容早已大幅增加。再加上為了提昇單元胞電容 器(ceU capacitor)儲存能力,而製作高度更大的底部電 極,或是使頂部電極26覆蓋於底部電極22的外緣側壁上, 皆會造成位元線電容值更大幅度的增加。而此額外的 ==降低單元胞訊號傳遞的速度外,'亦會使訊號 變付U弱而降低了判讀的精準性。 ,為I 士服位元線電容之問題,在目前的半導體製程 I Η可:Ϊ運用低介電係數材料填充於電容器與位元線插 塞=,而達到降低寄生電容的目的。然而,由於在製 兀線插塞時,往往會先進行高溫RTp製程,以形成諸如矽Page 4 5. Description of the invention (2), the parasitic capacitance generated between electrical components to increase the reliability of the capacitor and the accuracy of signal transmission. Please refer to the bit line below. First, lines) uses 14. Then, on the region 14, a second polycrystalline particle volume is oxidized and the bottom surface of the phenocrystalline grain is defined. The first figure is that the capacitor can be deposited on the gate of the semiconducting material, and the layer 20 is lithographed at the bottom of the electrode. The polysilicon plug 18 and the first port pattern on the electrode 22 are shown in the process formation diagram. This figure shows the DRAM memory cell according to the transmission. A structure 12 is made on a typical substrate 10, and a polycrystalline stone located in the first oxide layer 16 of the gate defines a contact hole in the gate, and then opens a pattern table to control the manufacturing process, and the manufacturing steps of the manufacturing process The following is the word line (the source / drain region structure 12 between the word and the source / drain between them. Then by packing 18. Then, the upper surface of the sinkable oxide layer 16 can be deposited with a hemispherical surface. Storage capacity In the process of making a retrospective engraving procedure, the capacitor dielectric layer 24 is in the crown opening of the capacitor dielectric layer 24. The crystalline silicon plug 18 connected to the second oxide layer 2 2 sense line plug is generally shown in the above table. In order to further enhance the storage capacity of the bottom electrode 22, the second oxide layer 20 will be exposed to expose the surface of the bottom electrode 22. Then, sink on the outer surface of the bottom electrode 22 and define the surface of the top electrode 26. And filled with the bottom electrode 22 can be deposited with a third oxide layer 28 can be deposited on the top electrode 26 and on top, and then through the rhyme process, the opening pattern 30 is formed to expose the central portion. Multi-faceted servings. 490801 V. Explanation (3) The surface of the noteworthy capacitor is short. In order to have the pole 22, it is often difficult to describe the opening pattern 30. Of course, the second oxide is exposed to the bottom electrode 2 except for etching back. The pattern on the two sides is 30, and it is easy to determine, increasing the aspect ratio of the product, increasing its aspect ratio, increasing the layer 20, increasing the outer edge of the wall and the bits in the element dimension, and the capacitance storage capacity of each other is south. However The outer step of the height of the rear capacitor has been increased, so that the effect of the bottom area has been reduced. The top electrode 2 6 wire plugs continue to shrink between the plugs, so that the distance between each of them becomes more 'in making the above bottom electricity The bit line plugs that will continue to be manufactured can also be exposed by exposing the outer side walls of the electrodes 22 above. However, in this way, the coverage will be closer to the opening parasitic capacitance. In fact, as component accumulation As the distance between the bit line plug and the bottom electrode 22 or the top electrode 26 is getting closer, the capacitance of the bit 7L line has increased significantly. In addition, in order to improve the cell Capacitor (ceU capacitor) storage capacity, and making the bottom electrode with a larger height, or making the top electrode 26 cover the outer edge sidewall of the bottom electrode 22, will cause the bit line capacitance value to increase more significantly. Extra == In addition to reducing the speed of unit cell signal transmission, 'will also make the signal weaker U and reduce the accuracy of the interpretation. It is a problem with the capacitance of the bit line capacitors, which is not possible in the current semiconductor manufacturing process. : Ϊ Use low dielectric constant material to fill capacitors and bit line plugs to reduce parasitic capacitance. However, when manufacturing line plugs, high-temperature RTp processes are often performed first to form materials such as silicon.

第6頁 490801 發明說明(4) 化鈦材料的阻障層於位元線開口圖案内,是以會減少低介 電’、數材料的特性’甚或對位元線插栓產生如⑽丨g a s丨n g 之f良反應。由此,對目前的半導體工業而言,亟需一種 有六、方法以便在元件尺寸縮小的同時,有效解決位元 線電容之問題。 發明目的及概述: 士 明之目的為提供一種製作隔離空隙於位元線插塞 與電谷器間之DRAM記憶體元件製程。 二本發明提供了一種具有位元線下電容器之動態隨機存 取記憶體製作方法。首先成複數個間極結構於半導體 底材上以作為子浯線使用。接著,定義源/汲極區域於 閘極結構間之半導體底材中,且沉積第一介電層於半導體 厂材上以覆蓋住閘極結構與源/>及極區域。铁後,飯列 第一介電層,直至抵達半導體底材表面為止f以形成第一 開口於相鄰之閘極結構間,且曝露出部份源/汲極區域上 表面。隨後,可形成自對準導電插塞於第一開口中以連接 源/汲極區域。其中,自對準導電插塞可區分為第一 且第一導電插塞用來與電容器底部 電極連接,而第二導電插塞則用來與位元線連接。铁 沉積第二介電層於第一介電層與自對準導電插塞“,、、 五、發明說明(5) 部電極開口圖牵於^笛— 圖 荦會€ ϋ m ”遣第一,丨電層中。其中’底部電極開 系曰曝路出苐一導電插塞上表面。 接著,沉積 底部,以用來定 出部份底部電極 表面上,並沉積 於底部電極開口 電極表面形成第 電層間具有餘刻 膜層上方,其中 膜的與電容器, 介電膜層間亦具 層、第三介電膜 第二導電插塞上 第三介電膜層進 元線開口側壁向 外緣。再沿著位 隙之開口,並且 元線插塞與電容 容0 案的側壁與 電層而曝露 於底部電極 上,且填充 ,沿著頂部 層與第二介 於第三介電 的第三介電 電層與第三 刻第四介電 ’直至抵達 。接著,對 電膜層由位 部電極側壁 封住隔離空 中,其中位 元線寄生電 第一導電層於底部電極開口圖 義底部電極,並回飯刻第二介 側壁。隨後,形成電容介電層 第二導電層於電容介電層表面 圖案中以定義頂部電極。隨後 二介電膜層,其中第三介電膜 選擇差異。再沉積第四介電層 第四介電層可完全覆蓋住下方 且具有一平坦上表面,第四介 有钱刻選擇差異。然後,可蝕 層、第二導電層、第二介電層 表面為止,以形成位元線開口 行選擇性钱刻程序,使第三介 内回縮,而形成隔離空隙於頂 元線開口側壁形成間隙壁而密 製作位元線插塞於位元線開口 器間具有隔離空隙而可降低位 發明詳細說明·· 第8頁 490801 五、發明說明(6) 請參照第二圖,首先提供一半導體底材100來沉積所 需的膜層。其中’此半導體底材100可使用具<100>晶向之 單晶矽來加以構成。一般而言,其它種類之半導體材料, 諸如砷化鎵(gallium arsenide)、鍺(germanium)或是位 於絕緣層上之石夕底材(siHc〇n 〇ri insulator, SOI)亦可 應用作為此處的半導體底材1〇〇使用。另外,由於半導體 底材1 0 0表面的特性對本發明而言,並不會造成特別的影 晌、,是以其晶向亦可選擇<11〇>或<m>。並且,藉由熟、知 的淺溝渠隔離製程,可在此半導體底材丨〇 〇上製作出淺 渠隔離結構(STI),以便定義出用來製作元件的主動區/ 接著,可在半導體底材100上,製作出作為字語線 二U'ilnes)使用的複數個閘極結構102,以及位於閘極 if楚兩側半導體底材100中的源/汲極區域104。接著, 乂 f苐一介電層106於半導體底材100上,以覆蓋住下方的 沒/源極區域。!達半ί體底材100為止,以曝露出部份的 1 02間。^ n ^而疋義複數個接觸孔於相鄰的閘極結構 氧化施例巾,此處的第—介電層⑽可使用 接著, 中。其中, 可 可 形成導電插塞108與110於第一介電層1〇6 以先沉積導電層於第一介電106上,且填充 490801 五、發明說明(7) 於上述接觸孔中,再利用化學機械研磨(CMp)程序,將位 於第一介電層106上表面的部份導電層移除,而形成位於 接觸孔内的自對準導電插塞1〇8與11〇(self_aHgned 、 contact, SAC)。其中,位於兩側的導電插塞1〇8,主要用 來與電容器的底部電極連接。至於位在中央部份的導電插 塞11 0,則是用來與後續製作的位元線相連接。在較佳的 實施例中,此處的導電層可使用多晶矽材料來構成, 此處所定義的導電插塞為多晶矽插塞。 然後沉積第二介電層112於導電插塞1〇8、11〇與第一 介電層106的上表面。一般而言,此處的第二介電層112, 可選擇由未摻雜矽化玻璃(USG)來構成。接著,藉著進行 非均向性蝕刻程序,可定義底部電極的開口圖案丨丨4於^ 二介電層11 2之中。接著可沿著開口圖案丨丨4的表面,沉積 一多晶矽膜層11 6。亦即,沉積多晶矽膜層丨丨6於導電插^ 108、第一介電層1〇6、與第二介電層112的表面上。 土 隨後’可形成矽晶種(nuclei)於多晶石夕膜層丨丨6的表 面上’並於高度真空環境下進行熱回火程序,而形成具有 半球狀矽晶粒(Hemi-Spherical Grain; HSG)118的粗键表Page 6 490801 Description of the invention (4) The barrier layer made of titanium material is in the bit line opening pattern, which will reduce the low dielectricity, the characteristics of several materials, or even generate bit line plugs such as ⑽gas丨 ng good response. Therefore, for the current semiconductor industry, there is an urgent need for a six-method in order to effectively solve the problem of bit line capacitance while reducing the component size. Purpose and summary of the invention: The purpose of Shi Ming is to provide a process for manufacturing a DRAM memory element that isolates a gap between a bit line plug and a valley device. The present invention provides a method for manufacturing a dynamic random access memory with a bit-line capacitor. First, a plurality of inter-electrode structures are formed on a semiconductor substrate to be used as daughter wires. Next, a source / drain region is defined in the semiconductor substrate between the gate structures, and a first dielectric layer is deposited on the semiconductor material to cover the gate structure and the source / > and electrode regions. After the iron, the first dielectric layer is lined up until it reaches the surface of the semiconductor substrate f to form a first opening between adjacent gate structures, and a portion of the upper surface of the source / drain region is exposed. Subsequently, a self-aligned conductive plug may be formed in the first opening to connect the source / drain region. Among them, the self-aligned conductive plug can be divided into a first, the first conductive plug is used to connect to the bottom electrode of the capacitor, and the second conductive plug is used to connect to the bit line. The second dielectric layer is deposited by iron on the first dielectric layer and the self-aligned conductive plug. ",, V. Description of the invention (5) The electrode openings are drawn with the flute-Figure 荦 € ϋ m"丨 in the electrical layer. Among them, the bottom electrode is opened to expose the upper surface of a conductive plug. Next, a bottom is deposited to define a part of the bottom electrode surface, and is deposited on the bottom electrode opening electrode surface to form a film layer above the second electrical layer, wherein the film and the capacitor and the dielectric film layer also have a layer, A third dielectric film layer on the second conductive plug has a third dielectric film layer side wall opening side wall and an outer edge. Then along the opening of the potential gap, the sidewalls and electrical layers of the element line plugs and capacitor capacitors are exposed on the bottom electrode, and filled, along the top layer and the second dielectric between the third dielectric and the third dielectric. The electrical layer and the fourth dielectric at the third moment until it arrives. Next, the electrical film layer is sealed in the isolation space by the side wall of the bit electrode, in which the bit line parasitic electricity the first conductive layer defines the bottom electrode in the bottom electrode opening, and the second dielectric side wall is engraved with the rice. Subsequently, a second dielectric layer is formed in the surface pattern of the capacitor dielectric layer to define a top electrode. Then there are two dielectric film layers, of which the third dielectric film is selected differently. Re-deposit the fourth dielectric layer. The fourth dielectric layer can completely cover the lower surface and has a flat upper surface. Then, the selective etching process is performed on the surface of the etchable layer, the second conductive layer, and the second dielectric layer to form bit line openings, so that the third interspace is retracted, and an isolation gap is formed on the side wall of the top element line opening. Form the gap wall and densely make the bit line plug between the bit line openings with an isolation gap to reduce the bit. Detailed description of the invention. Page 8 490801 V. Description of the invention (6) Please refer to the second figure, first provide a The semiconductor substrate 100 is used to deposit a desired film layer. Among these, the semiconductor substrate 100 can be composed of single crystal silicon having a crystal orientation of < 100 >. In general, other types of semiconductor materials, such as gallium arsenide, germanium, or siHc〇n 〇ri insulator (SOI) on the insulation layer can also be used here The semiconductor substrate 100 is used. In addition, since the characteristics of the surface of the semiconductor substrate 100 do not cause any special influence to the present invention, < 11〇 > or < m > can be selected depending on its crystal orientation. In addition, through a well-known shallow trench isolation process, a shallow trench isolation structure (STI) can be fabricated on this semiconductor substrate, in order to define the active area used to make the device. On the material 100, a plurality of gate structures 102 used as word lines 2 U'ilnes) and source / drain regions 104 in the semiconductor substrate 100 on both sides of the gate electrode are fabricated. Next, a dielectric layer 106 is formed on the semiconductor substrate 100 to cover the lower / source regions below. ! Up to half of the body substrate 100, to expose some 02 rooms. ^ n ^ And the meaning of a plurality of contact holes in the adjacent gate structure oxide embodiment, here the first-dielectric layer can be used. Among them, conductive plugs 108 and 110 may be formed on the first dielectric layer 106 to deposit a conductive layer on the first dielectric 106 first, and filled with 490801. V. Description of the invention (7) in the above contact hole and reused The chemical mechanical polishing (CMp) procedure removes a part of the conductive layer on the upper surface of the first dielectric layer 106, and forms self-aligned conductive plugs 108 and 11〇 (self_aHgned, contact, SAC). Among them, the conductive plugs 10 on both sides are mainly used to connect with the bottom electrode of the capacitor. As for the conductive plug 110 located in the central part, it is used to connect with the bit line to be produced later. In a preferred embodiment, the conductive layer herein may be formed using a polycrystalline silicon material, and the conductive plug defined herein is a polycrystalline silicon plug. A second dielectric layer 112 is then deposited on the top surfaces of the conductive plugs 108 and 110 and the first dielectric layer 106. Generally speaking, the second dielectric layer 112 can be made of undoped silicide glass (USG). Then, by performing an anisotropic etching process, the opening pattern of the bottom electrode can be defined in the two dielectric layers 112. Then, a polycrystalline silicon film layer 116 can be deposited along the surface of the opening pattern 4. That is, a polycrystalline silicon film layer is deposited on the surfaces of the conductive plug 108, the first dielectric layer 106, and the second dielectric layer 112. The soil then 'can form a silicon seed (nuclei) on the surface of the polycrystalline stone film layer 6' and perform a thermal tempering process under a high vacuum environment to form a hemi-Spherical Grain ; HSG) Coarse key table for 118

面,以增加電容器底部電極的表面積。如此,可以在半導 體底材100上定義出由皇冠型多晶矽膜層U6與半球狀石夕〶 粒表面11 8所構成的底部電極1 2 〇。 M 第10頁 490801Surface to increase the surface area of the bottom electrode of the capacitor. In this way, a bottom electrode 12 consisting of a crown-type polycrystalline silicon film layer U6 and a hemispherical spherulite surface 118 can be defined on the semiconductor substrate 100. M Page 10 490801

接著,請參照第三圖1第二介電層112進行 ,而使其表面高度下降約3〇〇〇至8〇〇〇 / 。的外緣側壁。然後,可沿著第二介電層;二 谷:底部電極120表面,形成電容介電層122。在較佳實施 例中,電容介電層丨22可以利用〇/N、〇/以〇之複合薄膜貝, 或是利用高介電之薄膜如Ta2〇5、BST、p 4後 積導電層124於電容介電層122表面上,且填充二=;匕 中,以便用來定義電容器頂部電極(t〇p pUte)使用。 一般來說,可選擇摻雜多晶矽材料來構成厚度約5〇〇至 1000埃的導電層124。 然後’可沿著導電層1 2 4的表面,沉積第三介電層 1 2 6。值得注意的是,此處的第三介電層丨2 6其材質需選擇 與第二介電層11 2間具有較大蝕刻選擇差異之材料。例 如,當第二介電層112是使用未摻雜矽玻璃(USG)時,第三 介電層126可使用硼碟矽玻璃(BPSG)或氮化矽材料來構 成。如此,藉著此二種材質特性之不同,可提供第二介電 層112與第三介電層126間,大於5 0:1的蝕刻選擇差異。在 較佳實施例中,可使用常壓化學氣相沉積法(ApCVD)或是 電漿增強化學氣相沉積法(PECVD),在溫度約30 0至600 °C、且壓力約1至10托耳(Torr)的環境中形成厚度約3〇〇至 1000埃之硼磷矽玻璃。至於所使用之反應氣體則可選擇Next, please refer to the third dielectric layer 112 of FIG. 1 to reduce the surface height of about 3,000 to 8000 /. Outer edge of the side wall. Then, along the second dielectric layer; two valleys: the surface of the bottom electrode 120, a capacitor dielectric layer 122 is formed. In a preferred embodiment, the capacitor dielectric layer 22 can be a composite thin film of 0 / N, 0 / to 0, or a high-dielectric thin film such as Ta205, BST, and p4. It is on the surface of the capacitor dielectric layer 122, and is filled with two; in order to define the use of the capacitor top electrode (toppUte). Generally, a doped polycrystalline silicon material can be selected to form the conductive layer 124 with a thickness of about 500 to 1000 angstroms. A third dielectric layer 1 2 6 may then be deposited along the surface of the conductive layer 1 2 4. It is worth noting that the material of the third dielectric layer 丨 2 6 here needs to be selected from the material having a large etching selection difference from the second dielectric layer 112. For example, when the second dielectric layer 112 is made of undoped silicon glass (USG), the third dielectric layer 126 may be formed using borosilicate glass (BPSG) or silicon nitride material. Thus, by virtue of the difference in the characteristics of the two materials, a difference in etching selection between the second dielectric layer 112 and the third dielectric layer 126 greater than 50: 1 can be provided. In a preferred embodiment, atmospheric pressure chemical vapor deposition (ApCVD) or plasma enhanced chemical vapor deposition (PECVD) can be used at a temperature of about 30 to 600 ° C and a pressure of about 1 to 10 Torr Borophosphosilicate glass with a thickness of about 300 to 1000 angstroms is formed in the environment of the ear. As for the reaction gas used, you can choose

SiH4、PH3、B2H6、TE0S、03、TEB(Tri-Ethyl - Borate)、 TMP〇(Tri-Methyl-Phosphate)等等。或著可以使用低壓化SiH4, PH3, B2H6, TEOS, 03, TEB (Tri-Ethyl-Borate), TMP0 (Tri-Methyl-Phosphate), and so on. Or you can use low voltage

、發明說明(9) 干氣相沈積法(LPCVD),電漿增強化學氣相沈積法(PECvd) 等製程進行氮化矽沈積,而得到所需的膜層。其中形成氮 化石夕的溫度大約在40 0-80 0 °C。2. Description of the invention (9) Processes such as dry vapor deposition (LPCVD) and plasma enhanced chemical vapor deposition (PECvd) are used to deposit silicon nitride to obtain the required film layer. The temperature at which nitrogen fossils are formed is about 40 0-80 0 ° C.

隨後’可沉積第四介電層128於第三介電層126表面 上且填充位於導電層1 2 4間的空隙。換言之,可藉著沉 積較厚的第四介電層128,來覆蓋下方的第三介電層126與 導電層124。一般而言,在沉積完第四介電層Kg之後,尚 可2行化學機械研磨程序而使其具有較平坦的表面。在較 佳只軛例中,此第四介電層丨2 8可採用與第二介電層丨丨2相 =的材質,以提供其與第三介電層丨2 6間較大的蝕刻選擇 j異。例如可藉著一般製作層間介電層(ILD)的製程,例 密度沉積程序(HDP),或是在通入〇3與^仍反應氣體 1,形成未摻雜矽玻璃(USG)來構成所需的第四介電層Subsequently, a fourth dielectric layer 128 may be deposited on the surface of the third dielectric layer 126 and fill the gaps between the conductive layers 1 2 4. In other words, the lower third dielectric layer 126 and the conductive layer 124 can be covered by the thicker fourth dielectric layer 128. Generally, after the deposition of the fourth dielectric layer Kg, two lines of chemical mechanical polishing can be performed to make it have a flatter surface. In a preferred yoke example, this fourth dielectric layer 丨 2 8 can be made of a material that is in phase with the second dielectric layer 丨 丨 2 to provide a larger etch between it and the third dielectric layer 丨 2 6 Select j 异. For example, it can be formed by a general process of manufacturing an interlayer dielectric layer (ILD), such as a density deposition process (HDP), or by introducing 03 and ^ reactive gas 1 to form an undoped silica glass (USG). Required fourth dielectric layer

八接著’如第四圖所示,可塗佈光阻材料層13〇於第匹 二岛,1 28之上,且藉著進行相關的微影曝光、顯影、清 :而疋義位70線開口圖案於光阻層1 3 0之中。隨後, 1 ^者使#用-光阻層13 〇作為蝕刻罩冪,對下方的第四介電層 蝕列葙庄二介電層126 : I電層124、與第二介電層112進/ 來ΐ至抵達導電插塞110為止,以便定義後續用 = 1::讀插塞之位元線開口圖案132。一般而言,在 仃 刻程序時藉著區隔各個底部電極1 20上方的導電Eight next ', as shown in the fourth figure, a photoresist material layer 13 can be coated on the second island, 1 28, and by performing the relevant lithographic exposure, development, and clearing: the 70 position The opening pattern is in the photoresist layer 130. Subsequently, the photoresist layer 13 〇 is used as an etching mask to etch the second dielectric layer 126 of the fourth dielectric layer below: the I dielectric layer 124 and the second dielectric layer 112. / Come to reach the conductive plug 110 to define the subsequent use = 1 :: read the bit line opening pattern 132 of the plug. Generally speaking, during the engraving process, the electrical conductivity above each bottom electrode 120 is separated by

第12頁 490801 五、發明說明(ίο) 層124,可同時定義出電容器的頂部電極134。 在移除光阻層130後,如第五圖所示,可對第三 ^26進行-選擇性餘刻程序,以便由位元線開^電 壁,向兩侧移除部份第三介電層126,並形成隔離空隙J (air gaPM36於第四介電層128與頂部電極134之間。: 而言,當第三介電層126是由BPSG材料所構成,且第二^ 電層U2與第四介電層128是由USG材料所構成時,可使用 氫氟酸蒸氣(HF vapor)來蝕刻第三介電層126。如此, 著高達1_:1的#刻選擇比值,將可在不傷害位元線曰口 132側壁的情況下,使曝露於蝕刻劑中的第三介電層126 面產生回縮,而造成上述的隔離空隙136。至於,當 、 介電層126的材質由氮切構成時,則可使用熱^溶^ 來進行蝕刻程序,而可在超過5〇:1的蝕刻選擇比情形下, 令第二介電層1 26的表面回縮。在較佳的實施例中,了 增加隔離空隙136的空間,且兼顧電容器整體結構支撐的 穩疋性,可使第二介電層126表面,回縮至底部電極丨2 端的位置,如第五圖中所示。 上 要特別私出的,為了更有效的防止頂部電極丨3 4與 續製作的位元線插塞產生短路,亦可進行一選擇性的蝕刻 程序’以便沿著位元線開口 ! 32側壁,移除部份的頂部電 極134使其產生回縮(puU back),而增加隔離空隙136的 空間。其中,可藉著濕式蝕刻法或諸如離子電漿的乾蝕刻 丄Page 12 490801 Fifth, the invention description (124) layer 124 can simultaneously define the top electrode 134 of the capacitor. After the photoresist layer 130 is removed, as shown in the fifth figure, a selective selective etching process may be performed on the third ^ 26, so that the electrical lines are opened by the bit lines, and a portion of the third dielectric is removed to both sides. The electrical layer 126 forms an isolation gap J (air gaPM36 between the fourth dielectric layer 128 and the top electrode 134.) In terms of the third dielectric layer 126 made of BPSG material and the second dielectric layer When U2 and the fourth dielectric layer 128 are made of a USG material, the third dielectric layer 126 can be etched using HF vapor. In this way, a # tick selection ratio of up to 1_: 1 will be able to Without harming the side wall of the bit line mouth 132, the third dielectric layer 126 exposed to the etchant is retracted, causing the above-mentioned isolation gap 136. As for the material of the dielectric layer 126, When composed of nitrogen cutting, the thermal process can be used to perform the etching process, and the surface of the second dielectric layer 1 26 can be retracted at an etching selection ratio exceeding 50: 1. In the embodiment, the space of the isolation gap 136 is increased, and the stability of the overall structural support of the capacitor is taken into consideration, so that the surface of the second dielectric layer 126 can be restored. The position to the bottom electrode 丨 2 is shown in the fifth figure. The above should be specially private. In order to more effectively prevent the top electrode 丨 3 4 from short-circuiting with the bit line plugs to be produced, you can also choose one. Etch procedure 'in order to open along the bit line! 32 sidewalls, remove part of the top electrode 134 to make it puU back, and increase the space to isolate the gap 136. Among them, by wet etching Method or dry etching such as ion plasma

:序:^ :晶矽材料所構成的頂部電極1 34進行蝕刻作 =Λ當整個隔離空隙製作出來時,對於=電 極iu 亦a移除部份與第二介電層112接壤的頂部電 112上矣3 P衹移除位元線開口132中分佈於第二介電屉 夕上表面之水平部份頂部電極134。如此,可避免移二 :的:員部電極134 ’而降低了整體電容的儲存能力。當^ 電容介雷居199丄電極134,而曝露出與其田比鄰的 八3 。但由於在此蝕刻多晶矽材料的製程中, 不、層122可作為蝕刻停止層“ t〇pper)使用,因此 不至於對位於内層的底部電極120造成侵蝕。 清參照第六圖,接著可形成側壁間隙壁1 38於位元綠 開口 則壁上,以密封(seal)上述隔離空隙136之開口, 防土,續製作的位元線與頂部電極丨34間產生短路。在較 佳只施例中,可先進行低壓化學氣相沉積法(LPCVD) , # 採用TEQS材料,以沿著半導體底材⑽的表面,沉積厚户 :約丄00至5 0 0埃的氧化膜層。㈤時,所沉積的氧化膜層亦' 々著位元線開口 1 3 2側壁上的隔離空隙丨3開口填入,而9 到所需的密封效果。隨後,可藉著進行一回蝕刻程序, 除位於=電插塞110與第四介電層128上表面之部份氧化膜 層’並定義側壁間隙壁1 3 8於位元線開口 1 3 2中。 、 接著’沉積金屬層140於第四介電層128上,且填充於: Sequence: ^: Top electrode 1 34 made of crystalline silicon material is etched = Λ When the entire isolation gap is made, the top electrode 112 which is part of the electrode iu and a which is adjacent to the second dielectric layer 112 is removed. The upper part 3P only removes the top electrode 134 of the horizontal portion of the bit line opening 132 distributed on the upper surface of the second dielectric drawer. In this way, it is possible to avoid shifting the two: member electrodes 134 'and reduce the storage capacity of the overall capacitor. When the capacitor is located at 199 丄, the electrode 134 is exposed, and the 8-3 adjacent to the field is exposed. However, in this process of etching the polycrystalline silicon material, the layer 122 can be used as an etch stop layer "topper", so that the bottom electrode 120 located in the inner layer will not be eroded. Refer to the sixth figure, and then a sidewall can be formed. The partition wall 138 is on the wall of the bit green opening to seal the opening of the above-mentioned isolation gap 136 to prevent soil, and a short circuit is generated between the bit line and the top electrode 34 which are continuously manufactured. Low pressure chemical vapor deposition (LPCVD) can be performed first. # Use TEQS material to deposit thick layer along the surface of semiconductor substrate ⑽: about 丄 00 to 500 Å. The deposited oxide film layer is also filled with the isolation gap on the sidewall of the bit line opening 1 3 2 and filled with the opening 3, and 9 to the desired sealing effect. Then, by performing an etching process, The electrical plug 110 and a portion of the oxide film layer on the upper surface of the fourth dielectric layer 128 'define the sidewall spacer 1 3 8 in the bit line opening 1 32. Then, the metal layer 140 is deposited on the fourth dielectric Layer 128 and filled in

490801490801

位元線開σ 1 3 2中而形成位元線插塞。—般而t,在沉積 作為位元線使用的金屬層140時,可先形成阻障層142於第 四介電層128、侧壁間隙壁138與導電插塞11〇表面上。此 處的阻卩早層142主要用來防止後續所形成的金屬層14〇,與 介電層或半導體底材間發生擴散現象,而造成尖峰效應 (spiking effect)。值得注意的是,當此處所沉積的金屬 阻障層1 42由於製程不良而發生斷續分佈的情形時,上述 1則壁間隙壁138亦可防止位元線插塞材料產生擴散。接 著’便可沉積上述金屬層14〇於阻障層142表面上,而製作 出所為的位元線結構。如此,可形成具有位元線下電容器 (CUB)之動態隨機存取記憶體元件。 使用本發明的方法,具有相當的好處。其中,藉著在 位元線插塞與電容器電極板之間製作隔離空隙(a i Γ gap),而可利用空氣的低介電係數來達到降低位元線電容 之目的。如此一來,即便隨著積集度上昇,使位元線插塞 與電容器間的距離變得愈來愈小,但藉著使用空隙來產生 隔離效果,仍可避免過高的寄生電容,而維持各個元件間 正常操作。此外,由於本發明的方法與目前的CMOS製程可 完全相容,因此實際在線上製作時,並不需要進行額外的 步驟或使用其它的製程機台,而可在不影響產能與製作週 期的情形下,製作出所需的隔離空隙。 本發明雖以〆較佳實例闡明如上,然其並非用以限定The bit line is opened in σ 1 3 2 to form a bit line plug. In general, when the metal layer 140 used as a bit line is deposited, a barrier layer 142 may be formed on the surface of the fourth dielectric layer 128, the sidewall spacer 138, and the conductive plug 110. The early halt resist layer 142 is mainly used to prevent the diffusion between the metal layer 14 formed later and the dielectric layer or the semiconductor substrate, thereby causing a spiking effect. It is worth noting that when the metal barrier layer 142 deposited here is intermittently distributed due to a poor manufacturing process, the above-mentioned wall spacer 138 can also prevent the bit line plug material from diffusing. Then, the above-mentioned metal layer 14 can be deposited on the surface of the barrier layer 142, and a bit line structure can be fabricated. In this way, a dynamic random access memory device having a bit-line capacitor (CUB) can be formed. Using the method of the invention has considerable benefits. Among them, by forming an isolation gap (a i Γ gap) between the bit line plug and the capacitor electrode plate, the low dielectric constant of the air can be used to reduce the bit line capacitance. In this way, even with the increase in the accumulation degree, the distance between the bit line plug and the capacitor becomes smaller and smaller, but by using the gap to produce the isolation effect, excessive parasitic capacitance can still be avoided, and Maintain normal operation between components. In addition, since the method of the present invention is completely compatible with the current CMOS manufacturing process, there is no need to perform additional steps or use other processing equipment when actually manufacturing on-line, and the situation without affecting production capacity and manufacturing cycle Next, make the required isolation gap. Although the present invention has been described above with reference to a preferred example, it is not intended to limit it.

490801490801

第16頁Page 16

HyuQui 圖式簡單說明 藉由上:< 下上¥ Λ 上述内容及Γ 之描述結合所附 及此項發明之諸多優點, 具位:Άί „導=材截面圖, 第二圖ϊ t盜之μ記憶體元 部電極之步驟;導體底材截面圖’ 第三圖為半導體底材截面圖, BPSG材料層(或氮化層)於電容器了貝 第四圖為半導體底材截面圖, USG 材料層(undoped silicate gl; 該BPSG層或氮化層上方之步驟; 第五圖為半導體底材截面圖, 空隙於電容器側壁上之步驟;及 第六圖為半導體底材截面圖, 元線插塞之步驟。 f示’將可輕易的了解 異中: =示根據傳統製程定義 顯示根據本發明製作底 ”、員示根據本發明形成 部電極表面上之步驟; 顯示根據本發明形成 s s ’未摻雜之氧化碎)於 顯示根據本發明形成隔 顯示根據本發明形成位 離 1 7 "S*The HyuQui diagram is simply explained by the above: < the upper and lower ¥ Λ the above content and the description of Γ combined with the many advantages of the invention and this invention, with the position: Άί „Guide = sectional view of the material, the second picture ϊ Steps of the μ memory element electrode; cross-section view of the conductor substrate 'The third figure is a cross-sectional view of a semiconductor substrate, the BPSG material layer (or nitride layer) is placed on the capacitor. The fourth picture is a cross-sectional view of a semiconductor substrate, USG material Layer (undoped silicate gl; steps above the BPSG layer or nitride layer); the fifth figure is a cross-sectional view of a semiconductor substrate with a gap on the side wall of the capacitor; and the sixth figure is a cross-sectional view of a semiconductor substrate, a meta wire plug The step f shows the difference can be easily understood: = shows the step according to the invention on the surface of the electrode formed according to the definition of the traditional process; shows the step on the surface of the electrode formed according to the present invention; Miscellaneous oxidative fragmentation) In the display according to the present invention, the spacers are formed according to the present invention.

Claims (1)

49〇細 _請專利範圍 1 · 一種形成隔離空隙於位元線插塞與電容器間之 態隨機存取記憶體製作方法,該方法至少 提供-半導體底材,該半導體底材上具有J個;晶 體結構,且在該電晶體結構上方覆蓋了第一介電層,而 該第一介電層上表面則具有電容器,其中該電容^之 電極可經由鑲嵌於該第一介電層中的第一導電插塞,而^ 該電晶體之源/汲極連接,至於在該電容器的週圍則具、 第二介電層,覆蓋住下方的該第一介電層與第二導雷' 塞,其中該苐二導電插塞鎮嵌於該第一介電層中,且 於該電晶體之另一源/汲極,並且該電容器之頂部極合接 覆蓋住所有該底部電極與該第二介電層; f ^ 沿著該頂部電極表面形成第三介電膜層,其 電膜層與該第一介電層間具有钱刻選擇差異; 沉積第四介電層於該第三介電膜層上方,其 介電層可完全覆蓋住下方的第三介電膜的與該電容=第四 具有一平坦上表面,該第四介電層與該第三介 ,且 具有蝕刻選擇差異; 曝層間亦 々蝕刻該第四介電層、該第三介電膜層、該了員 該第二介電層,直至抵達該第二導電插塞上表 ^電極、 形成位元線開口; “、、止’以 、 對該第三介電膜層進行選擇性蝕刻程序,使兮々一 電膜層沿著該位元線開口側壁向内回縮,而形 ^第三介 於該電容器侧壁邊緣; 而^成隔離空隙 沿著該位元線開口側壁形成側壁間隙壁而尜 在封住該隔49〇fine_Please Patent Scope 1 · A method for forming a random access memory that forms an isolation gap between a bit line plug and a capacitor, the method provides at least-a semiconductor substrate, which has J semiconductor substrates; A crystal structure, and a first dielectric layer is covered above the crystal structure, and the upper surface of the first dielectric layer is provided with a capacitor, wherein an electrode of the capacitor can be passed through the first dielectric layer embedded in the first dielectric layer. A conductive plug, and the source / drain connection of the transistor, and a second dielectric layer surrounding the capacitor, covering the first dielectric layer and the second lightning-conducting plug below, Wherein the second conductive plug is embedded in the first dielectric layer and is at another source / drain of the transistor, and the top electrode of the capacitor is connected to cover all the bottom electrode and the second dielectric. An electric layer; f ^ forming a third dielectric film layer along the top electrode surface, the electric film layer and the first dielectric layer having a difference in money selection; depositing a fourth dielectric layer on the third dielectric film layer Above, its dielectric layer can completely cover the third The electric film and the capacitor = fourth have a flat upper surface, the fourth dielectric layer is different from the third dielectric, and there is a difference in etching selection; the fourth dielectric layer and the third dielectric are also etched between the exposed layers. The film layer and the second dielectric layer are formed until they reach the surface electrode on the second conductive plug to form a bit line opening; ",, and only," select the third dielectric film layer The etching process causes an electrical film layer to retract inwardly along the side wall of the bit line opening, and the shape is located between the edges of the side wall of the capacitor; and an isolation gap is formed along the side wall of the bit line opening. The side wall is closed 第18頁 490801 六、申請專利範圍 離空隙之開口;且 製作位元線插塞於該位元線開口中,其中該位元線插 塞與該電容器間具有該隔離空隙而可降低寄生電容。 2·如申請專利範圍第1項之方法,其中上述之第二介 電層與該第四介電層是由未摻雜矽玻璃(USG)所構成。 3 ·如申請專利範圍第2項之方法,其中上述第三介電 膜層之材料可選擇硼磷矽玻璃(BPSG)、氮化矽或其任意組 4 ·如申凊專利範圍第3項之方法,其中上述對該第二 介電獏層進行的選擇性蝕刻程序,是使用氫氟酸蒗氣或二 磷酸來進行。 “ 其中上述之底部電 而曝露出部份該底 5·如申請專利範圍第1項之方法 極較該第二介電層高約3〇〇〇至8〇〇〇埃 部電極之外緣側壁。Page 18 490801 6. Scope of patent application Opening from the gap; and making a bit line plug in the bit line opening, wherein the bit line plug and the capacitor have the isolation gap between them to reduce parasitic capacitance. 2. The method according to item 1 of the application, wherein the second dielectric layer and the fourth dielectric layer are made of undoped silicon glass (USG). 3. The method according to item 2 of the scope of patent application, in which the material of the third dielectric film layer can be selected from borophosphosilicate glass (BPSG), silicon nitride or any group thereof 4. The method according to item 3 of the scope of patent application The method, wherein the selective etching process performed on the second dielectric hafnium layer is performed using a hydrofluoric acid hafnium gas or a diphosphoric acid. "Wherein the above bottom part is electrically exposed to expose the bottom part 5. The method of item 1 of the patent application range is extremely higher than the second dielectric layer by about 3,000 to 80,000 angstroms. . 如申請專利範圍第1項之方法,豆中卜π + / 辟e山广一 八T上述之侧壁 璧疋由厚度約2 0 0至5 0 0埃的氧化矽所構成 主According to the method of applying for the first item in the scope of patent application, douzhong pi + / pi e shan guangyi eight T side wall 璧 疋 is composed of silicon oxide with a thickness of about 200 to 50 angstroms. 490801 六、申請專利範圍 8.如申請專利範圍第7項之方法,其中上述之侧壁間 隙壁是使用TE0S材料作為反應物,進行低壓化學氣相沉積 法而形成。 • .....一,w…、,思分茹 < 切/〜•心胆 製作方法,該方法至少包含下列步驟·· 形成複數個閘極結構於半導體底材上,以作為字語線 使用; ^ 定義源/沒極區域於該閘極結構間之該半導體底材中; 冗積第一 ’丨電層於該半導體底材上,且覆蓋住該閘極結構 與該源/汲極區域; 蝕刻該第一介電層,直至抵達該半導體底材表面為 二以形成第一開口於相鄰之該閘極結構間,且曝 伤該源/汲極區域上表面; Ώ 1 極區mu 第-開口中以連接該源"及 第二導電_對準導電塞可區分為第—導電插塞與 ^ . 且該第一導電插塞用來與電容芎底立p雷; 、、5Γ籍g ^導電插塞則用來與位元線連接; 上; 電層於該第一介電層與該自對準導電插塞 餃刻該第二介電層直至抵達該第 止,以形成底部電極開口圖案於第f人;::上表面為 圖案會曝露出該第一導電插塞上表面;飞底490801 VI. Application for Patent Scope 8. The method according to item 7 of the patent application scope, in which the above-mentioned sidewall gaps are formed by using a low-pressure chemical vapor deposition method using TEOS material as a reactant. • ..... one, w ... ,, Si Fenru < cut / ~ • method of making biliary biliary, the method includes at least the following steps: · forming a plurality of gate structures on a semiconductor substrate as a word line Use; ^ define the source / non-electrode region in the semiconductor substrate between the gate structures; redundant first electrical layers on the semiconductor substrate, and cover the gate structure and the source / drain Area; etching the first dielectric layer until reaching the surface of the semiconductor substrate is two to form a first opening between adjacent gate structures and expose the upper surface of the source / drain area; Ώ 1 pole area The mu- opening is connected to the source " and the second conductive-aligned conductive plug can be divided into a first-conductive plug and ^. And the first conductive plug is used to establish a p-thunder with the capacitor; 5 Γ g ^ conductive plug is used to connect with the bit line; upper; electrical layer on the first dielectric layer and the self-aligned conductive plug engraved the second dielectric layer until reaching the first, to Form the bottom electrode opening pattern on the fth person; ::: The pattern on the upper surface will expose the top surface of the first conductive plug ; Fly end 第20頁 六、申請專利範圍 沉積第一導電層於該底部 部,以用來定義底部電極; 開口圖案的側壁與底 形成介電層而曝露出部份該底部電極侧壁. 彬或電今介電層於該底部電極表面上· 电徑W 2, ;儿積第二導電層於該雷玄人 底部電極開口圖案中,以定義;頁部;t面且填充於該 介電介電膜層…該第三 TL ^ M 冤S間具有蝕刻選擇差異; 入啻思 四"電θ於該第三介電膜層上方,JL中兮笛四 介電層可完全覆蓋住下方 ^具中該第四 具有一平扫上# 一;丨電膜的與該電容器,且 ^ 十坦上表面,該第四介電居盥辞楚-人兩 具有钱刻選擇差異; Θ ^ 一;|電膜層間亦 =該第四介電層、該第三介電膜層 層’直至抵達該第二導電插夷 一’丨電 開口; 土上表面為止,以形成位元線 電膜Πίί介2層進行選擇性㈣程序,錢第三介 該頂部電極侧壁外緣; 内口細而形成隔離空隙於 隙之;Π該且位元線開口側壁形成間隙壁而密封住該隔離空 塞』ΐίίίϊίΓ::元線開口中’,中該位元線插 罨谷益間具有該隔離空隙而可降低寄生電容。 10.如申請專利範圍第9項之方法,其中上述之第二 490801 六、申請專利犯圍 介電層與該第四介電層是由未摻雜矽玻璃(USG)所構成。 11. 如申請專利範圍第10項之方法,其中上述之第三 介電膜層是由硼磷矽玻璃(BPSG)或氮化矽所構成。 12. 如申請專利範圍第11項之方法,其中上述對該 三介電膜層進行的選擇性餘刻程序,是使用氫氣酸蒸氣 熱磷酸來進行。 / 該 底部 緣側 13.如申請專利範圍第9項之方法,其中上述 第二介電層之步驟’可使該第二介電層上表面低於餘 電極約30 00至8000埃,而曝露出部份該底部電極之= 壁。 卜 14. >申請專利範圍第9項之方法,其中上 介電膜層約具有300至1〇〇〇埃的厚度。 < 弟三 15. 如申請專利範圍第9項之方法,复 間隙壁是由厚度約200至50〇埃的氧化矽所構成。述之側壁 16·如申請專利範圍第15項之方法,1中 間隙壁是使用蘭材料作為反應物,進行ς =側壁 積法而形成。 -^化干虱相沉Page 20 6. The scope of the application for a patent deposits a first conductive layer on the bottom portion to define a bottom electrode; a sidewall of the opening pattern and a bottom form a dielectric layer to expose a portion of the bottom electrode side wall. Bin or Dianjin Dielectric layer on the surface of the bottom electrode · Diameter W 2; A second conductive layer is defined in the opening pattern of the bottom electrode of the Lei Xuanren to define; the page portion; the t-plane and filling the dielectric dielectric film layer … The third TL ^ M has an etching choice difference between the two; 啻 四 四 四 四 四 电 "Electric θ above the third dielectric film layer, JL in the four dielectric layer can completely cover the bottom ^ The fourth has a flat sweep on the first and the capacitor, and the upper surface of the capacitor, and the fourth dielectric has a difference in choice between money and engraving; Θ ^ one; | Also = the fourth dielectric layer and the third dielectric film layer 'until the second conductive plug-in' electrical opening is reached; the upper surface of the soil is formed to form a bit line electrical film and the dielectric layer is selected Sexual sting procedure, Qian third introduced the outer edge of the side wall of the top electrode; There is a gap between the gaps; the side wall of the bit line opening forms a gap wall to seal the isolation plug "ΐίίίίίΓ: in the opening of the element line ', where the bit line is inserted into the gap between the valley and the gap can be Reduce parasitic capacitance. 10. The method according to item 9 of the scope of patent application, in which the above-mentioned second 490801 VI. Patent-applying dielectric layer and the fourth dielectric layer are composed of undoped silicon glass (USG). 11. The method of claim 10, wherein the third dielectric film layer is made of borophosphosilicate glass (BPSG) or silicon nitride. 12. The method according to item 11 of the scope of patent application, wherein the above-mentioned selective etch-down procedure for the triple dielectric film layer is performed using hydrogen acid vapor hot phosphoric acid. / The bottom edge side 13. The method according to item 9 of the scope of patent application, wherein the step of the second dielectric layer described above can cause the upper surface of the second dielectric layer to be lower than the remaining electrode by about 300 to 8000 angstroms, and expose Part of the bottom electrode = wall. 14. The method of claim 9 in the patent application range, wherein the upper dielectric film layer has a thickness of about 300 to 1,000 angstroms. < Brother III 15. According to the method of claim 9 in the scope of patent application, the composite spacer wall is composed of silicon oxide having a thickness of about 200 to 50 angstroms. 16. Side wall 16. According to the method of claim 15 in the scope of patent application, the partition wall in 1 is formed by using the blue material as the reactant, and the method of the side wall product is used. -^ Resolve dry lice 第22頁 490801 六、申請專利範圍 17. 如申請專利範圍第9項之方法,其中上述之自對 準導電插塞是由多晶矽材料所構成。 18. 如申請專利範圍第9項之方法,其中上述之底部 電極具有半球狀石夕晶粒表面,以增加電容表面積。 19. 如申請專利範圍第9項之方法,其中上述第一導 電層與該第二導電層是由摻雜多晶矽所構成。 2 0.如申請專利範圍第9項之方法,其中上述第二導 電層之厚度約為500至1000埃。 第23頁 _1Page 22 490801 6. Scope of patent application 17. For the method of claim 9 of the patent scope, the self-aligning conductive plug mentioned above is made of polycrystalline silicon material. 18. The method according to item 9 of the scope of patent application, wherein the bottom electrode has a hemispherical stone grain surface to increase the surface area of the capacitor. 19. The method according to item 9 of the application, wherein the first conductive layer and the second conductive layer are made of doped polycrystalline silicon. 20. The method according to item 9 of the scope of patent application, wherein the thickness of the second conductive layer is about 500 to 1000 Angstroms. Page 23 _1
TW90104555A 2001-02-27 2001-02-27 Method for forming isolation gap between bit line and capacitor TW490801B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW90104555A TW490801B (en) 2001-02-27 2001-02-27 Method for forming isolation gap between bit line and capacitor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW90104555A TW490801B (en) 2001-02-27 2001-02-27 Method for forming isolation gap between bit line and capacitor

Publications (1)

Publication Number Publication Date
TW490801B true TW490801B (en) 2002-06-11

Family

ID=21677482

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90104555A TW490801B (en) 2001-02-27 2001-02-27 Method for forming isolation gap between bit line and capacitor

Country Status (1)

Country Link
TW (1) TW490801B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194792A (en) * 2010-03-05 2011-09-21 台湾积体电路制造股份有限公司 Integrated circuit and a method for producing the same
TWI575654B (en) * 2012-12-05 2017-03-21 聯華電子股份有限公司 Semiconductor structure having contact plug and method of making the same
US10049929B2 (en) 2012-12-05 2018-08-14 United Microelectronics Corp. Method of making semiconductor structure having contact plug

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102194792A (en) * 2010-03-05 2011-09-21 台湾积体电路制造股份有限公司 Integrated circuit and a method for producing the same
CN102194792B (en) * 2010-03-05 2012-11-14 台湾积体电路制造股份有限公司 Integrated circuit and a method for producing the same
TWI575654B (en) * 2012-12-05 2017-03-21 聯華電子股份有限公司 Semiconductor structure having contact plug and method of making the same
US10049929B2 (en) 2012-12-05 2018-08-14 United Microelectronics Corp. Method of making semiconductor structure having contact plug

Similar Documents

Publication Publication Date Title
US5780338A (en) Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US8580666B2 (en) Methods of forming conductive contacts
US5364813A (en) Stacked DRAM poly plate capacitor
JPH1022473A (en) Manufacture of semiconductor device
JP2914359B2 (en) Method for forming capacitor of semiconductor device
TW444395B (en) Processing method to planarize the crown capacitor device
JP2004274021A (en) Method of manufacturing three-dimensional metal-insulator-metal capacitor for dynamic random access memory(dram) and ferroelectric random access memory(feram)
US6960523B2 (en) Method of reducing erosion of a nitride gate cap layer during reactive ion etch of nitride liner layer for bit line contact of DRAM device
US7332391B2 (en) Method for forming storage node contacts in semiconductor device
US20050118762A1 (en) Manufacturing method of semiconductor device
TW490801B (en) Method for forming isolation gap between bit line and capacitor
US6271099B1 (en) Method for forming a capacitor of a DRAM cell
TW413932B (en) Manufacturing method of crown-type capacitor structure
TWI223393B (en) Method of filling bit line contact via
JP3384779B2 (en) Integrated circuit structure and method of forming the same
US6444538B2 (en) Method for manufacturing a semiconductor memory device using hemispherical grain silicon
TWI294668B (en) Method of fabricating trench isolation for trench-capacitor dram devices
US6136644A (en) Method of manufacturing a multi-pillared storage node using silylated photoresist
US6245633B1 (en) Fabrication method for a double-side double-crown stacked capacitor
KR20000044884A (en) Method for forming capacitor of semiconductor device
KR100487915B1 (en) Capacitor Formation Method of Semiconductor Device
TW527718B (en) Fabrication method of isolation air gap between bit line and capacitor
KR100307967B1 (en) Mehtod of forming IPO layer of MML device
TW499731B (en) Method for forming gap isolation between bit-line contact and capacitor-under-bitline DRAM cell
TW480673B (en) Manufacturing method of DRAM having capacitor under bit line

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent