TW441018B - Manufacturing method for etching off the sidewall structure in a self-aligned process - Google Patents

Manufacturing method for etching off the sidewall structure in a self-aligned process Download PDF

Info

Publication number
TW441018B
TW441018B TW89101062A TW89101062A TW441018B TW 441018 B TW441018 B TW 441018B TW 89101062 A TW89101062 A TW 89101062A TW 89101062 A TW89101062 A TW 89101062A TW 441018 B TW441018 B TW 441018B
Authority
TW
Taiwan
Prior art keywords
layer
self
gate
patent application
scope
Prior art date
Application number
TW89101062A
Other languages
Chinese (zh)
Inventor
Jhon-Jhy Liaw
Yun-Hung Shen
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW89101062A priority Critical patent/TW441018B/en
Application granted granted Critical
Publication of TW441018B publication Critical patent/TW441018B/en

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

There is provided a manufacturing method for etching off the sidewall structure in a self-aligned process, which can increase the gate space thereby reducing the design specification. The method comprises the steps of: (i) depositing gate material on a substrate, defining a gate area, lightly doping the drain, and growing an oxide; (ii) forming a separation layer on two sides of the gate, and performing a source and drain implantation; (iii) forming a self-aligned contact etching stop layer; (iv) forming an inter-layer of dielectric material, using the etching back or chemical mechanical polishing process to flatten the surface, and defining the self-aligned area; (v) performing a self-aligned contact etching process and removing etching stop layer of the self-aligned contact; (vi) removing the separation object in the self-aligned contact; (vii) forming a thin dielectric layer by depositing, and forming a thin separation object on the sidewall of the gate by etching back; and (viii) finally, forming a tungsten plug by depositing. In addition, the above method can be performed by using compound separation object to achieve the object of forming thin separation object. Accordingly, it is able to increase the etching margin of the self-aligned contact, and also increase the contact area of the self-aligned contact, thereby decreasing the contact resistance.

Description

4 410 18 五、發明說明(1) 本發明係有關於一種在自行對準製程中蝕刻掉側瓔層 的結構的製作方法。 在所有的半導體記憶體中’ SRAM (靜態隨機存取記憶 體)是屬於處理速度最快的一種記憶體,其主要是以記憶 胞(Memory Cell )内電晶體的導電狀態來儲存資料。一般 最常被使用的SRAM的架構中包括有六個電晶體,如第1圖 所示’即做為策動器之用的加強型NM0S電晶體1〇 ' 12,做 為存取SRAM内資料之用的加強型NM0S電晶體14 ' 16,以及 做為負載之用的空乏型NM0S電晶體18、20。也就因為每— 個記憶體胞需要用到六個電晶體,所以SRAM的集積度會比 較低’故而也比較貴^ 為了要提昇包含六個電晶體之SRAM的集積度,記憶體 胞的佈局尺寸必須儘量縮小。但在傳統的自行對準製程 中’由於側壁層具有一定的厚度,同時須保留自行對準之 接觸窗姓刻邊界’所以很難將閘極的間隔縮小。請參閱第 2a圖至第2f圖’傳統的製程係包括下列步驟:〇)如第 圖所示’在基底3〇上沉積多晶碎或是多晶石夕化金屬/多晶 石夕’以做為閘極的材料,然後在其上沉積丨〇 0 〇〜2 5 〇 〇 A的 氮化石夕(SisN4)或是氧氮化矽(Si ON) 32,以做為光罩層,定 義出開極34,接著並進行淺汲極摻雜,再進行閘極退火, 以成長厚約1〇〇〜200A的氧化物,或是直接沉積厚約 100〜300A的氧化物36 ;(ii)如第2b圖所示,在上述氧化 物3 6上沉積氮化矽’並回蝕刻以形成間隔層3 8 ’並且進行 源極與沒極的植入;(i i i)請參閱第2 c圖,沉積厚約4 410 18 V. Description of the invention (1) The present invention relates to a manufacturing method of a structure in which a side ridge layer is etched away in a self-alignment process. Among all semiconductor memories, SRAM (Static Random Access Memory) is one of the fastest processing types of memory. It is mainly based on the conductive state of the transistor in the memory cell to store data. In general, the most commonly used SRAM architecture includes six transistors, as shown in Figure 1, 'that is, the enhanced NM0S transistor 10' as a stimulator 12 ', which is used to access the data in the SRAM. The reinforced NMOS transistor 14'16 is used, and the empty NMOS transistor 18,20 is used as a load. That is, because each of the memory cells requires six transistors, the density of SRAM will be lower ', so it will be more expensive ^ In order to increase the density of SRAM with six transistors, the layout of the memory cells The size must be minimized. However, in the conventional self-alignment process, ‘the gap between the gate electrodes is difficult to be narrowed because the sidewall layer has a certain thickness and the self-aligned contact window ’s engraved boundary is required. Please refer to FIG. 2a to FIG. 2f. 'The traditional process system includes the following steps: 0) As shown in the figure,' deposit polycrystalline or polycrystalline metal / polycrystalline silicon on the substrate 30 'to As the material of the gate, and then depositing SiO 2 (SisN4) or silicon oxynitride (Si ON) 32 on it, as a mask layer, define Open electrode 34, followed by shallow doping, and then gate annealing, to grow an oxide with a thickness of about 100 ~ 200A, or directly deposit an oxide 36 with a thickness of about 100 ~ 300A; (ii) such as As shown in Fig. 2b, silicon nitride 'is deposited on the above oxide 36 and etched back to form a spacer layer 3 8' and source and non-electrode implantation is performed; (iii) Please refer to Fig. 2c, deposition Thick

4 4 10 184 4 10 18

五、發明說明(2) ' 100~400 A的氮化矽’以做為自行對準接觸窗蝕刻停止層 iv)n月參閱第2d圖’沉積厚約1〇〇〇〜2〇〇qa的PETE0S 40 與厚約3000〜12000 A的BPTEOS,以做為内層介電材料 (I LD)42,然後利用回蝕刻或是化學機械 面平整,制用光阻層44定義自㈣準的區域的(vU 閱第2e圖’進行自行對準接觸窗的蝕刻,並移除其中的氮 化矽;(v i)請參閱第2 f圖,最後再沉積形成鎢插塞 (W-plug)46 。 如圖所示,利用習知製程的元件,其接觸窗中接觸面 積較小,因此,接觸電阻較大,且其蝕刻邊際也較小。 。此外,不良的自行對準接觸窗的輪廓,會造成間隔物 的損壞’使其無法達到阻隔的功能。 有鐘於此’為了克服習知技藝中的問題,本發明之目 的即在於提供一種在自行對準製程中蝕刻掉側壁層的結 構,其在閘極的側壁上僅有薄的間隔層,可以增加閘極的 空間,故可使得設計規格進一步縮小。 由於本發明之在自行對準製程中蝕刻掉側壁層的結構 可以增加自行對準接觸窗的接觸面積’故可降低接觸電 阻。並且可以增加自行對準接觸窗的蝕刻邊際^ 為了進一步闡明本發明之方法、架構及特點,下面就 配合附圖說明本發明之較佳實施例,其中: 第1圖係繪示傳統SRAM記憶單胞的電路圖。 第2a圖至第2f圖係繪示習知製程中的自行對準接觸窗 的製作流程的載面圖式。V. Description of the invention (2) '100 ~ 400 A silicon nitride' as a self-aligned contact window etch stop layer iv) Refer to Figure 2d for a month to deposit a thickness of about 1000 ~ 200qa PETE0S 40 and BPTEOS with a thickness of about 3000 ~ 12000 A are used as the inner dielectric material (I LD) 42 and then etched back or chemical mechanical plane is used to form a photoresist layer 44 to define a self-regulating area ( vU see Figure 2e 'to etch the self-aligned contact window and remove the silicon nitride in it; (vi) Please refer to Figure 2f, and finally deposit a tungsten plug (W-plug) 46. As shown, the components using the conventional process have a smaller contact area in the contact window, so the contact resistance is larger, and the etch margin is smaller. In addition, poor self-alignment of the contour of the contact window can cause gaps. Damage to the object prevents it from achieving the barrier function. In order to overcome the problems in the conventional art, the object of the present invention is to provide a structure for etching away the sidewall layer in the self-aligning process, which is provided in the gate. There is only a thin spacer layer on the side wall of the electrode, which can increase the space of the gate. The design specifications can be further reduced. Since the structure of etching the sidewall layer in the self-alignment process of the present invention can increase the contact area of the self-aligned contact window, the contact resistance can be reduced, and the etching margin of the self-aligned contact window can be increased. ^ In order to further clarify the method, architecture, and characteristics of the present invention, the preferred embodiments of the present invention will be described below with reference to the accompanying drawings, in which: Figure 1 is a circuit diagram showing a conventional SRAM memory cell. Figures 2a to 2f This is a side view drawing showing the manufacturing process of the self-aligning contact window in the conventional manufacturing process.

First

Hi 五、發明說明(3) 第3 a圖至第3 h圖係繪示根據本發明之一實施例,在自 行對準製程中蝕刻掉側壁層的結構之製程的截面圖式。 第4 a圖至第4 h圖係繪示根據本發明之另一實施例,在 自行對準製程中蝕刻掉侧壁層的結構之製程的截面圖式。 參考標號的說明 加強型NMOS電晶體〜10、12、14、16 ;空乏型NMOS電 晶體〜18、20 ;碎基底〜30、50 '80 ;光罩層〜32、52、 82 ;閘極〜34、54、84 ;氧化物〜36、56 ;間隔層〜38、 58、96 ’自行對準接觸窗姓刻停止層〜、6〇 ;内層介電 材料~42、62、100 ;光阻層〜44、64、1〇2 ;薄介電層 ~66 ;薄間隔物〜68、11〇 ;鎢插塞〜46、70、112 ;氣化石夕 層~90 ;介電層〜92 ;氮化石夕〜94 ;介電層~96。 實施例之說明 請參閱第3a圖至第3h圖,根據本發明之一實施例,在 自行對準製程中蝕刻掉側壁層的結構之製程係包括下列步 驟:(i)如第3a圖所示,在基底50上沉積多晶矽或是多晶 矽化金屬/多晶矽,以做為閘極的材料,然後在其上沉積 1 000〜250 0 a的氮化矽(Si3N4)或是氧氮化矽(Si⑽)52,以 做為光罩層’定義出閘極54 ’接著並進行淺汲極摻雜,再 進行閘極退火,以成長厚約1〇〇~2〇〇入的氧化物,或是 接沉積厚約100〜300义的氧化物56;(;[1)如第31;)圖所示, 在上述氧化物上沉積多晶石夕,並回银刻以形成間隔層^, 並且進行源極與汲極的#雜;(ili)請參閱第仏圖, 厚約勝4G〇A的氮切,以做為自行對準接觸窗敍心,Hi V. Description of the invention (3) Figures 3a to 3h are cross-sectional views showing a process of etching away the structure of the sidewall layer in the self-alignment process according to an embodiment of the present invention. 4a to 4h are cross-sectional views illustrating a process of etching away the structure of the sidewall layer in the self-alignment process according to another embodiment of the present invention. Explanation of reference numerals: reinforced NMOS transistors ~ 10, 12, 14, 16; empty NMOS transistors ~ 18, 20; broken substrates ~ 30, 50 '80; photomask layers ~ 32, 52, 82; gate electrodes ~ 34, 54, 84; oxides ~ 36, 56; spacers ~ 38, 58, 96; self-aligned contact window engraved stop layers ~, 60; inner dielectric materials ~ 42, 62, 100; photoresist layers ~ 44, 64, 102; thin dielectric layer ~ 66; thin spacers ~ 68, 110; tungsten plugs ~ 46, 70, 112; gasified stone layer ~ 90; dielectric layer ~ 92; nitride Evening ~ 94; Dielectric layer ~ 96. For description of the embodiment, please refer to FIGS. 3a to 3h. According to an embodiment of the present invention, the process of etching away the structure of the sidewall layer in the self-alignment process includes the following steps: (i) as shown in FIG. 3a On the substrate 50, polycrystalline silicon or polycrystalline metal silicide / polycrystalline silicon is deposited as the material of the gate electrode, and then silicon nitride (Si3N4) or silicon oxynitride (Si⑽) having a thickness of 1,000 to 2500 0 a is deposited thereon. 52, as the mask layer 'define the gate 54' and then do shallow dopant doping, and then perform gate annealing to grow oxides with a thickness of about 100 to 2000, or to deposit An oxide 56 having a thickness of about 100 to 300 Å; (; [1) As shown in FIG. 31;), polycrystalline stone was deposited on the above oxide, and then etched back to form a spacer layer ^, and a source electrode was performed. (Ili) Please refer to the second figure with the drain electrode. The thickness is about 4G0A, which is used as the self-aligned contact window.

第7頁 4410 18 五、發明說明⑷ " 止層60 ;(ιν)請參閱第3d圖,沉積厚約1〇〇〇〜2〇〇〇A的 PETEOS與厚約3000〜1 2000 A的BPTEOS,以做為内層介電材 料(ILD) 62,然後利用回蝕刻或是化學機械研磨的方式使 表面平整,再利用光阻層64定義自行對準的區域;(v)請 參閱第3e圖,進行自行對準接觸窗的蝕刻,並移除其中的 氮化矽,(vi)請參閱第3f圖,移除自行對準接觸窗内的多 晶矽間隔物58 ; (vii)請參閱第3g圖,沉積形成薄介電層 6 6,例如氬化石夕或疋氧化物,並且回钮刻以在閘極側壁上 形成薄間隔物68 ; (vii i)請參閱第3h圖,最後再沉積形成 鎢插塞70。 ' 請參閱第4a圖至第4h圖’根據本發明之另—個實施 例,在自行對準製程中蝕刻掉側壁層的結構之製程係包括 下列步驟:Ci)如第4a圖所示,在基底80上沉積多晶矽或 是多晶矽化金屬/多晶矽’以做為閘極的材料,然後在其 上沉積1 0 0 0〜250 0 A的氮化矽(Si3N4)或是氧氮化石夕 (SiON)82,以做為光罩層’定義出閘極84 ; (ii)如第4b圖 所示,在其上沉積一氮化矽層90 :(Ui)請參閱第杬圖, 在上述氮化矽層90上,沉積一介電層92,例如一氧化層 (TEOS),(iv)请參閱第4d圖’對上述氮化石夕層及介電層 92進行回姓刻,藉以在閘極84兩侧形成複合式間隔物 (composite spacer),該複合式間隔物係包括氮化矽94及 介電層96 ;(v)請參閱第4e圖,沉積厚約1〇〇〇〜2〇〇〇a的 ?£丁£05與厚約30 00〜1 2000人的8?1£03,以做為内層介電材 料(I L D) 1 0 0 ’然後利用回餘刻或是化學機械研磨的方式使Page 7 4410 18 V. Description of the invention quot " Stop layer 60; (ιν) Please refer to Figure 3d, depositing PETEOS with a thickness of about 1000-2000A and BPTEOS with a thickness of about 3000-2000A As the inner dielectric material (ILD) 62, and then use etch back or chemical mechanical polishing to level the surface, and then use the photoresist layer 64 to define the self-aligned area; (v) please refer to Figure 3e, Etch the self-aligned contact window and remove the silicon nitride in it. (Vi) Please refer to Figure 3f. Remove the polycrystalline silicon spacer 58 in the self-aligned contact window. (Vii) Please refer to Figure 3g. A thin dielectric layer 66 is deposited, such as argon fossil or hafnium oxide, and the button is engraved to form a thin spacer 68 on the side wall of the gate; (vii i) Please refer to FIG. 3h, and finally deposit a tungsten plug Plug 70. 'Please refer to FIG. 4a to FIG. 4h' According to another embodiment of the present invention, the process of etching away the structure of the sidewall layer in the self-alignment process includes the following steps: Ci) As shown in FIG. 4a, Polycrystalline silicon or polycrystalline silicon silicide / polycrystalline silicon 'is deposited on the substrate 80 as the material of the gate, and then a silicon nitride (Si3N4) or silicon oxynitride (SiON) of 100 to 250 0 A is deposited thereon. 82, as the mask layer 'defines the gate electrode 84; (ii) as shown in FIG. 4b, a silicon nitride layer is deposited on it 90: (Ui) Please refer to FIG. On the layer 90, a dielectric layer 92, such as an oxide layer (TEOS), is deposited. (Iv) Please refer to FIG. 4d. A composite spacer is formed on the side, and the composite spacer system includes silicon nitride 94 and a dielectric layer 96; (v) Please refer to FIG. 4e, and deposit a thickness of about 1000-2000a ££ 丁 £ 05 and thickness of about 30 00 ~ 1 2000 people 8? 1 £ 03, as the inner dielectric material (ILD) 1 0 0 'and then use the back-etching or chemical mechanical grinding method to make

第8頁 五、發明說明(5) 平整,再利用光阻層1〇2定義自行對準的區域· =第4f圖’進行自行對準接觸窗的㈣ y) 的介電層間隔物96 ; (vi i)請參閱第 除其中 成内襯層(liner),例如氮化 八 >儿積形 壁上形成薄間隔物11〇; (vii丄If且回蝕刻以在閉極側 積形成鎢插塞112。 》月參閱第4h圖’最後再沉 利用本發明上述兩個實 件架構如第3h圖及第4h圖所 窗中的接觸面積,如此除了 了進一步縮小元件尺寸的空 施例之製作方法,所得到的元 示’其可以增大自行對準接觸 可以降低接觸電阻外,也提供 間。 八Page 8 V. Description of the invention (5) Leveling, and then using the photoresist layer 102 to define a self-aligned area · = Figure 4f 'for self-aligned contact window ㈣ y) of the dielectric spacer 96; (vi i) Please refer to the first step to form a liner, such as forming a thin spacer 11 on the nitrided wall. (vii 丄 If and etch back to form tungsten on the closed electrode side. Plug 112. "Refer to Figure 4h for the last month. Finally, use the contact area in the windows of the above two physical architectures of the present invention, such as Figures 3h and 4h, in addition to the empty embodiment to further reduce the component size. The manufacturing method, the obtained indication is that it can increase self-aligned contact, can reduce contact resistance, and also provides time.

$ 9頁$ 9 pages

Claims (1)

441018 六、申請專利範圍 方法,包=:自打對準製程中蝕刻掉側壁層的結構的製作 乃心 巴括下列步驟: 域,T著H底上沉積問極的材料’然後定義出間極區 域接·=進行淺沒極摻雜,再成長氧化輪; 極的植入;上述閘極兩側形成間隔層’並且進行源極與汲 (iij)形成自行對準接觸窗蝕刻停止層; 械研:的:Ϊ ::介電材料’然後利用回蝕刻或是化學機 械研磨的方式使表面平整,再定義自行對準的區域; 對维炼肖§ ^自仃對準接觸窗的㈣,並移除其中的自行 對準接觸*餘刻停止層; (vi)移除自行對準接觸窗内的間隔物; (V i i)/儿積形成薄介電層,並且回蝕刻以在閘極側壁 上形成薄間隔物。 2.如申請專利範圍第丨項之製作方法,其中,上述步 驟(1 )中之閘極材料為多晶矽或是多晶矽化金屬/多晶矽。 3·如申請專利範圍第丨項之製作方法,其中,上述步 騾(1)中定義閘極的方式是在閘極材料上沉積1〇〇〇25〇〇A 的氮化矽或是氧氮化矽以做為光罩層。 4.如申請專利範圍第1項之製作方法,其中,上述步 驟(1)中之氧化物的以閘極退火形成,其厚度約1〇〇〜2〇〇A 5.如申請專利範圍第i項之製作方法,其中,上述步 驟(i)中的氧化物可為直接沉積厚約1 〇 〇〜3 〇 〇 A的氧化物。441018 VI. Method of applying for a patent, including =: The structure of the sidewall layer is etched away in the self-alignment process. The fabrication of the structure includes the following steps: Domain, depositing interlayer material on the substrate, and then defining the interpolar region. Connected to the electrode = do shallow shallow electrode doping, and then grow the oxide wheel; implantation of the electrode; formation of a spacer layer on both sides of the gate; and source and drain (iij) to form a self-aligned contact window etching stop layer; mechanical research :: Ϊ :: Dielectric material 'Then use etch back or chemical mechanical polishing to flatten the surface, and then define the self-aligned area; For Wei Lian Xiao § ^ Align the ㈣ of the contact window and move In addition to the self-aligned contact * stoppage layer in the rest of the time; (vi) remove the spacers in the self-aligned contact window; (V ii) / products to form a thin dielectric layer, and etch back to the gate sidewalls Formation of thin spacers. 2. The manufacturing method according to item 丨 of the patent application scope, wherein the gate material in the above step (1) is polycrystalline silicon or polycrystalline metal silicide / polycrystalline silicon. 3. The manufacturing method according to item 丨 in the scope of the patent application, wherein the way to define the gate in step (1) above is to deposit 1 200,500 A silicon nitride or oxygen nitrogen on the gate material. Silicone is used as a mask layer. 4. The production method according to item 1 of the scope of patent application, wherein the oxide in the above step (1) is formed by gate annealing, and the thickness is about 100 ~ 200A. The method of claim 1, wherein the oxide in the step (i) may be an oxide having a thickness of about 1,000 to 300 A directly deposited. 第10頁 4 410 18 六、申請專利範圍 6. 如申請專利範圍第1項之製作方法,其中,上述步 驟(i i )中之間隔物係在氧化物上沉積多晶矽,並回蝕刻而 形成。 7. 如申請專利範圍第1項之製作方法,其中,在上述 步驟(i i i )中之自行對準接觸窗姓刻停止層係沉積厚約 100~400 A的氮化石夕而形成。 8. 如申請專利範圍第1項之製作方法,其中,上述步 驟(^)之内層介電材料係沉積厚約1〇〇〇〜2〇〇〇人的]^丁£〇$ 與厚約3000〜12000 A的BPTE0S而形成。 9 · 一種在自行對準製程中蝕刻掉側壁層的結構的製作 方法’包括下列步驟: (i )在基底上沉積閘極的材料,然後在其上沉積一光 罩層,以定義出閘極; (i i )在其上沉積一氮化石夕層; (iii)在上述氮化發層上,沉積一介電層; (1 v )對上述氮化矽層及介電層進行回蝕刻,藉以在閘 極兩側形成複合式間隔物; (v)沉積内層介電材料,然後使表面平整化,再利用 光阻層定義自行對準的區域; (VI)進行自行對準接觸窗的蝕刻,並移除其中的介電 層間隔物; (V 1 1 )在其上沉積形成内襯層,並且回蝕刻以在閘極 側壁上形成薄間隔物。 1 0.如申請專利範圍第9項的製作方法,其中,上述步Page 10 4 410 18 6. Scope of patent application 6. The production method according to item 1 of the scope of patent application, wherein the spacers in the above step (i i) are formed by depositing polycrystalline silicon on the oxide and etching back. 7. The manufacturing method according to item 1 of the scope of patent application, wherein the self-aligned contact window in the above steps (i i i) is formed by depositing a nitride layer with a thickness of about 100-400 A. 8. The manufacturing method according to item 1 of the scope of the patent application, wherein the inner layer dielectric material in the above step (^) is deposited to a thickness of about 10,000 to 2,000 people] 丁 £ 0.00 and a thickness of about 3000 ~ 12000 A of BPTEOS. 9 · A manufacturing method of a structure for etching away a sidewall layer in a self-alignment process' includes the following steps: (i) depositing gate material on a substrate, and then depositing a photomask layer thereon to define the gate electrode ; (Ii) depositing a nitrided layer thereon; (iii) depositing a dielectric layer on the nitrided layer; (1v) etch back the silicon nitride layer and the dielectric layer, thereby Forming composite spacers on both sides of the gate; (v) depositing an inner layer of dielectric material, then flattening the surface, and then using a photoresist layer to define a self-aligned area; (VI) etching the self-aligned contact window, The dielectric layer spacers are removed therefrom; (V 1 1) is deposited thereon to form an inner liner layer, and etched back to form thin spacers on the gate sidewalls. 10. The method for making item 9 in the scope of patent application, wherein the above steps 第11頁 六、申請專利範圍 驟(i )中的閘極材料可為多晶矽或是多晶矽化金屬/多晶 石夕。 1 1.如申請專利範圍第9項的製作方法,其中,上述步 驟(i )中的光罩層係由沉積1 0 0 0〜2 5 0 0人的氮化矽(S i3 N4)或 是氧氮化碎(S i Ο N)所形成。 1 2.如申請專利範圍第9項的製作方法*其中,上述步 驟(iii)中的介電層可為一氧化層。 1 3 .如申請專利範圍第9項的製作方法,其中,上述步 驟(i v )中的複合式間隔物係包括氮化矽及介電層。 14.如申請專利範圍第9項的製作方法,其中,上述步 驟(v)中的内層介電材料係由厚約1 0 0 0〜2 0 0 0 A的PETEOS與 厚約3000〜12 0 0 0 A的BPTEOS所形成。 1 5.如申請專利範圍第9項的製作方法,其中,上述步 驟(vii)中的内襯層為氮化矽。Page 11 6. Scope of Patent Application The gate material in step (i) can be polycrystalline silicon or polycrystalline metal silicide / polycrystalline silicon. 1 1. The manufacturing method according to item 9 of the scope of patent application, wherein the photomask layer in the above step (i) is formed by depositing silicon nitride (S i3 N4) of 100 to 250 people. Formed by oxynitridation (S i 0 N). 1 2. The manufacturing method according to item 9 of the scope of patent application *, wherein the dielectric layer in the step (iii) may be an oxide layer. 13. The manufacturing method according to item 9 of the scope of patent application, wherein the composite spacer system in the above step (i v) includes silicon nitride and a dielectric layer. 14. The manufacturing method according to item 9 of the scope of patent application, wherein the inner dielectric material in the step (v) is made of PETEOS with a thickness of about 1 0 0 0 to 2 0 0 0 A and a thickness of about 3000 to 12 0 0 0 A formed by BPTEOS. 1 5. The manufacturing method according to item 9 of the scope of patent application, wherein the inner lining layer in the step (vii) is silicon nitride. 第12頁Page 12
TW89101062A 2000-01-24 2000-01-24 Manufacturing method for etching off the sidewall structure in a self-aligned process TW441018B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89101062A TW441018B (en) 2000-01-24 2000-01-24 Manufacturing method for etching off the sidewall structure in a self-aligned process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89101062A TW441018B (en) 2000-01-24 2000-01-24 Manufacturing method for etching off the sidewall structure in a self-aligned process

Publications (1)

Publication Number Publication Date
TW441018B true TW441018B (en) 2001-06-16

Family

ID=21658575

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89101062A TW441018B (en) 2000-01-24 2000-01-24 Manufacturing method for etching off the sidewall structure in a self-aligned process

Country Status (1)

Country Link
TW (1) TW441018B (en)

Similar Documents

Publication Publication Date Title
JP3523093B2 (en) Semiconductor device and manufacturing method thereof
JP3600476B2 (en) Method for manufacturing semiconductor device
US6667227B1 (en) Trenched gate metal oxide semiconductor device and method
KR100349364B1 (en) Method for manufacturing gate in semiconductor device
TW557538B (en) Method of forming side dielectrically isolated semiconductor devices and MOS semiconductor devices fabricated by this method
TW563228B (en) Method of making a ferroelectric memory transistor
TW379417B (en) Buried bitline structure and the manufacture method
JP2004214602A (en) Method of forming capacitor of semiconductor device
JP3725465B2 (en) Semiconductor device and manufacturing method thereof
US8409933B2 (en) Methods of forming conductive contacts to source/drain regions and methods of forming local interconnects
TW201027624A (en) Method for fabricating pip capacitor
US20050085071A1 (en) Methods of forming conductive metal silicides by reaction of metal with silicon
JP2003179214A (en) Method of manufacturing self-aligned ferroelectric memory transistor
JP2841056B2 (en) Method for manufacturing capacitor of semiconductor device
TW441018B (en) Manufacturing method for etching off the sidewall structure in a self-aligned process
KR100563095B1 (en) Method for fabricating silicide of semiconductor device
JP2003332571A (en) Method for manufacturing semiconductor element
JPH07263674A (en) Field effect semiconductor device and its manufacture
KR101062835B1 (en) Method for manufacturing gate electrode of semiconductor device using double hard mask
KR100464271B1 (en) Method for manufacturing mosfet of the semiconductor device
KR100315037B1 (en) Method for forming gate electrode in semiconductor device
JP4005269B2 (en) Manufacturing method of semiconductor device
JP2007157739A (en) Cmos semiconductor element and its fabrication process
TW447019B (en) Manufacturing method of a gate structure for reducing stress
JP2891192B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent