TW408425B - Shallow trench isolation process - Google Patents

Shallow trench isolation process Download PDF

Info

Publication number
TW408425B
TW408425B TW88100334A TW88100334A TW408425B TW 408425 B TW408425 B TW 408425B TW 88100334 A TW88100334 A TW 88100334A TW 88100334 A TW88100334 A TW 88100334A TW 408425 B TW408425 B TW 408425B
Authority
TW
Taiwan
Prior art keywords
shallow trench
trench isolation
semiconductor substrate
patent application
scope
Prior art date
Application number
TW88100334A
Other languages
Chinese (zh)
Inventor
Chao-Chieh Tsai
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW88100334A priority Critical patent/TW408425B/en
Application granted granted Critical
Publication of TW408425B publication Critical patent/TW408425B/en

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Abstract

A kind of shallow trench isolation process is practiced on the semiconductor substrate (such as silicon substrate). In this kind of process, firstly, utilizing the traditional method to form a shallow trench isolation (silicon dioxide) on the semiconductor substrate, the portion next to the substrate of the semiconductor is slightly depressed. Then, using HF as the etching solution to proceed etching toward the pre-formed shallow trench isolation in order to enlarge the pre-formed depressed portion. Then, utilize the cap layer (silicon nitride) to fill up the above-mentioned depressed portion, to make the phenomena of encroachment of the depressed portion to acquire the pre-formed cap layer isolation. Besides, the gate patterning step could be performed before enlarging the depressed portion in the etching shallow trench isolation, the sidewall of the gate could be formed at the same time.

Description

_408485___ 五、發明說明(1) 本發明是有關於一種隔離製程,且特別是有關於一種 淺渠溝隔離製程(STI process),其可在不增加製程步驟 的情況下’完成較窄邊界的接觸製程(Border-less contact process)、並有效避免半導體基底及淺渠溝隔離 間的金屬侵入現象(Encroachment)。 請參考第1A〜1D圖,此為習知淺渠溝隔離製程的流程 圖。首先’如第1A圖所示,提供一半導體基底10(如矽基 底),其表面以熱氧化法形成有氧化層12。接著,如第1β 圖所示’乾式蝕刻半導體基底1〇及氧化層12,藉以定義欲 形成隔離的隔離渠溝14。然後,如第ic圖所示,化學氣相 沈積另一層氧化層16,藉以覆蓋半導體基底1〇及蝕刻形成 的隔離渠溝1 2。最後,如第〗d圖所示,以化學機械研磨法 回蝕氧化層16至露出半導體基底1〇表面,藉以完成整個淺 渠溝隔離製程。 在這個例子裡,由於覆蓋能力的限制,半導體基底2 〇 在與淺渠溝隔離16接面的部分略為凹陷,如第圖標號 。另外,由於乾式蝕刻的定義步驟會在隔離渠溝14與 半導體基底1 0接面(尤其是在隔離渠溝丨4的側壁)造成晶隙 性缺陷,因此當進行源/汲極區域(圖中未示)的離子植入 及其表面的金屬矽化物製程時,沈積於源/汲極表面的金 屬矽化物(如CoS”)便會沿著半導體基底1〇與淺渠溝隔離 16接面侵入,造成嚴重的漏電流現象。另外,由於淺渠溝 隔離16側邊可能會侵入金屬,當進行金屬連線時,欲連接 源/汲極區的接觸窗及接觸插塞亦必須與淺渠溝隔離16保_408485___ V. Description of the invention (1) The present invention relates to an isolation process, and in particular to a shallow trench isolation process (STI process), which can 'finish narrower boundary contacts without adding process steps' Border-less contact process, and effectively avoid metal intrusion between semiconductor substrate and shallow trench isolation (Encroachment). Please refer to Figures 1A to 1D. This is the flow chart of the conventional shallow trench isolation process. First, as shown in FIG. 1A, a semiconductor substrate 10 (such as a silicon substrate) is provided, and an oxide layer 12 is formed on a surface thereof by a thermal oxidation method. Next, as shown in FIG. 1β, the semiconductor substrate 10 and the oxide layer 12 are dry-etched to define an isolation trench 14 to be isolated. Then, as shown in FIG. Ic, another oxide layer 16 is chemically vapor-deposited to cover the semiconductor substrate 10 and the isolation trench 12 formed by etching. Finally, as shown in FIG. D, the oxide layer 16 is etched back by chemical mechanical polishing to expose the surface of the semiconductor substrate 10, thereby completing the entire shallow trench isolation process. In this example, due to the limitation of the covering ability, the portion of the semiconductor substrate 20 at the junction with the shallow trench isolation 16 is slightly recessed, as shown in the figure. In addition, since the definition step of dry etching will cause interstitial defects at the junction between the isolation trench 14 and the semiconductor substrate 10 (especially at the sidewall of the isolation trench 4), when the source / drain region (see the figure) During the ion implantation and metal silicide process on the surface, the metal silicide (such as CoS ”deposited on the source / drain surface will invade along the semiconductor substrate 10 and the shallow trench isolation 16 interface. In addition, since the shallow trench isolation 16 side may invade the metal, when the metal connection is made, the contact window and contact plug to connect the source / drain region must also be connected to the shallow trench. 16 Guaranteed

1·Β 第4頁 五、發明說明(2) 持適當間距, V 有鑑於此 離製程,其可 入現象。 V本發明的 其可以達成較 極區的接觸窗 不會影響到原 v本發明的 其可以縮小整 電路的正常動 v 本發明的 其可以在定義 沈積於半導體 完成閘極侧壁 為達上述 製程,其首先 導體基底鄰接 蝕刻溶液,選 的凹陷部、並 現象。 在這種淺 成。淺渠溝隔 再者,在 藉以避免可 ’本發明的 以避免半導 另一個目的 窄邊界的接 及接觸插塞 電路的正常 再一個目的 體電路所需 作。 更一個目的 電晶體閘極 基底及淺渠 的形成步驟 及其他目的 是在半導體 的部分略為 擇性地钕刻 在凹陷部形 能的錯誤。 主要目的就是提供一種淺渠溝隔 體基底與淺渠溝隔離間的金屬侵 就是提供一種淺渠溝隔離製程, 觸製程’也就是,欲連接源/汲 可以部分接觸於淺渠溝隔離,而 動作。 就是提供一種淺渠溝隔離製程, 要的晶片面積,而不會影響到原 就是提供一種淺渠溝隔離製程, 後,將氮化ί夕(或其他隔離材料) 溝隔離間擴大的凹陷部,並同時 〇 ’本發明乃提供一種淺渠溝隔離 基底上形成淺渠溝隔離,其與半 凹陷;然後’再以氫氟酸(HF)為 淺渠溝隔離’藉以擴大先前形成 成遮蔽層,藉以避免金屬侵入的 渠溝隔離製程中,半導體基底可以由矽構 離可以由二氧化矽構成。 這種淺渠溝隔離製程中,淺渠溝隔離的形成1. · B. Page 4 V. Description of the invention (2) Maintain proper spacing. In view of this separation process, V is an acceptable phenomenon. V The present invention can achieve a more polar contact window without affecting the original v The present invention can reduce the normal movement of the entire circuitv The present invention can be deposited on the semiconductor to complete the gate sidewall in order to achieve the above process First, the conductor substrate is adjacent to the etching solution, and the depression is selected. In this shallow success. Shallow trenches are used to avoid the need of the present invention to avoid semiconducting, another purpose, narrow boundary contact and normal contact plug circuits, and another purpose of body circuits. A further purpose is to form the transistor gate substrate and the shallow channel. The other purpose is to erode the performance of the neodymium in the semiconductor part. The main purpose is to provide a metal trench between the shallow trench foundation and the shallow trench isolation. It is to provide a shallow trench isolation process. The contact process is that the source / drain to be connected can partially contact the shallow trench isolation, and action. Is to provide a shallow trench isolation process, the required chip area, without affecting the original is to provide a shallow trench isolation process, after the nitrided (or other isolation materials) nitride gap between the enlarged recesses, At the same time, the present invention provides a shallow trench isolation formed on a shallow trench isolation substrate, which is separated from a semi-depression; and then, 'the shallow trench isolation using hydrofluoric acid (HF) is used to expand the previously formed shielding layer, In the trench isolation process to avoid metal intrusion, the semiconductor substrate can be made of silicon and can be made of silicon dioxide. Formation of shallow trench isolation in this shallow trench isolation process

~~-4684^5---- 五、發明說明(3) 步釋係,首先在半導體基底餘刻一隔離窗口;然後,再沈-積一介電層(如二氧化矽)以覆蓋先前形成的隔離窗口、並 回蝕介電層以露出半導體基底表面。 再者,在這種淺渠溝隔離製程中,淺渠溝隔離的選擇 性敍刻步驟可以氫氟酸(HF )為蝕刻溶液。遮蔽層(氮化矽) 可以遮蔽凹陷部的金屬侵入。 另外,本發明亦提供一種淺渠溝隔離製程,其首先是 在半導體基底上形成淺渠溝隔離,其與半導體基底鄰接的 部分略為凹陷;然後,在半導體基底上定義閘極;接著, 再利用氫氟酸(HF)為蝕刻溶液,選擇性地蝕刻淺渠溝隔 離’藉以擴大先前形成的凹陷部、並在凹陷部形成遮蔽 層,藉以避免金屬侵入的現象。 在這種渠溝隔離製程中,定義閘極的步驟係,首先在 半導體基底上沈積閘氧化層(如二氧化矽)及介電層;然 後’再蝕刻定義介電層,藉以露出欲形成閘極的區域;接 著’沈積導電層(如複晶;s夕)以填滿該區域、並去除介電層 以得到所要的閘極。 為讓本發明之上述和其他目的、特徵、和優點能更明 顯易懂’下文特舉一較佳實施例,並配合所附圖式,作詳 細說明如下:~~ -4684 ^ 5 ---- V. Description of the invention (3) In the step release system, an isolation window is first etched on the semiconductor substrate; then, a dielectric layer (such as silicon dioxide) is deposited to cover the previous one. The isolation window is formed, and the dielectric layer is etched back to expose the surface of the semiconductor substrate. Furthermore, in this shallow trench isolation process, the selective etch step of shallow trench isolation can use hydrofluoric acid (HF) as an etching solution. The shielding layer (silicon nitride) can shield the intrusion of metal in the recessed part. In addition, the present invention also provides a shallow trench isolation process. First, a shallow trench isolation is formed on a semiconductor substrate, and a portion adjacent to the semiconductor substrate is slightly recessed. Then, a gate is defined on the semiconductor substrate; then, reused Hydrofluoric acid (HF) is an etching solution that selectively etches shallow trench isolation to expand the previously formed depressions and form a shielding layer in the depressions to avoid metal intrusion. In this trench isolation process, the step of defining the gate is to first deposit a gate oxide layer (such as silicon dioxide) and a dielectric layer on the semiconductor substrate; and then 're-etch the defined dielectric layer to expose the gate to be formed. Then, a conductive layer (such as a compound crystal; s) is deposited to fill the area, and the dielectric layer is removed to obtain the desired gate electrode. In order to make the above and other objects, features, and advantages of the present invention more comprehensible ', a preferred embodiment is given below, and in conjunction with the accompanying drawings, a detailed description is as follows:

第1A〜1D圖係習知淺渠溝製程的流程圖; 第2 A〜2 F圖係本發明實施例的淺渠溝製程的流程圖; 及Figures 1A to 1D are flowcharts of a conventional shallow trench process; Figures 2A to 2F are flowcharts of a shallow trench process according to an embodiment of the present invention; and

_____ 408425 五、發明說明(4) 第3A〜3H圖係本發明另一實施例的淺渠溝製程的流程 圖。 實施你| 為解決上述問題’本發明乃利用氫氟酸蝕刻擴大半導 體基底與淺渠溝隔離接面的凹陷部、並沈積氮化矽以填滿 凹陷部’進而加強兩者間的隔離效果及避免兩者間因金屬 侵入而產生的漏電流及誤動作。 請參考第2A-2F圖’此為本發明實施例的淺渠溝製程 的流程圖。如第2A圖所示,首先係提供一半導體基底 2〇(如破基底),其表面以熱氧化法覆蓋有氧化層22 (如二 氧化矽層)。 然,’如第2B圖所示,乾式蝕刻氧化層22及半導體基 底20 ,藉以得到一隔離窗口 24,用以形成淺渠溝隔離。在 k個=驟中,乾式餘刻的過程可以是任何一種習知技術。 I1'後,如第2C圖所示,沈積一介電層26 (如二氧化矽 層),藉以覆蓋氧化層22及隔離窗口24。並且,回蝕沈積 化層22至露出半導體基底2 G表面,藉以形成淺渠 ’如第2D圖所示。在這個步驟中,沈積的過輕可 以疋任何一種習知技術。 26接力的限制,半導體基底20在與淺渠溝隔離 Ρ分略為凹陷’如第2D圖標示28&所示。如習知 界之接觸製程難Κι :屬侵入現象,並使較窄邊 及、達成。此,本發明便希望在半導體基 底20及淺渠溝隔離26間填入遮蔽材料。_____ 408425 V. Description of the invention (4) Figures 3A to 3H are flow charts of a shallow trench process according to another embodiment of the present invention. Carry out you | To solve the above problem, the present invention uses hydrofluoric acid etching to expand the recessed portion of the semiconductor substrate and the shallow trench isolation interface, and deposit silicon nitride to fill the recessed portion, thereby enhancing the isolation effect between the two and Avoid leakage current and malfunction due to metal intrusion between the two. Please refer to Figs. 2A-2F ', which is a flowchart of a shallow trench manufacturing process according to an embodiment of the present invention. As shown in FIG. 2A, a semiconductor substrate 20 (such as a broken substrate) is first provided, and its surface is covered with an oxide layer 22 (such as a silicon dioxide layer) by a thermal oxidation method. However, as shown in FIG. 2B, the dry etching oxide layer 22 and the semiconductor substrate 20 are used to obtain an isolation window 24 for forming shallow trench isolation. In k = steps, the process of dry type remaining can be any conventional technique. After I1 ', as shown in FIG. 2C, a dielectric layer 26 (such as a silicon dioxide layer) is deposited to cover the oxide layer 22 and the isolation window 24. In addition, the deposition layer 22 is etched back to expose the surface of the semiconductor substrate 2G, thereby forming shallow trenches' as shown in FIG. 2D. In this step, the deposition is too light and can be performed by any of the conventional techniques. 26 relay limit, the semiconductor substrate 20 is isolated from the shallow trench. The P-point is slightly recessed 'as shown in Figure 2 & For example, the contact process in the field of knowledge is difficult: it is an invasion phenomenon, and the narrower side is achieved. Therefore, the present invention hopes to fill a shielding material between the semiconductor substrate 20 and the shallow trench isolation 26.

第7頁 —-40^4½ 五、發明說明(5) 不過’由於凹陷部28a的範圍很小’不易進行遮蔽材 料之填入動作。因此,本發明乃進一步選擇性地蝕刻,藉 以擴大凹陷部28a的範圍至第2E圖所示的凹陷部28b。在這 個步驟中,選擇性地蝕刻步驟可以是等向的濕式蝕刻,其 選用氫氟酸(HF)作為钱刻溶液。 如此,遮蔽材料(如氮化矽)便可以沈積,藉以填入擴 大的凹陷部2 8b ’並進而加強半導體基底2〇及淺渠溝隔離 26間的金屬侵入及因此產生的漏電流現象,如第2F圖所示 的填入材料30。另外’由於淺渠溝隔離26的絕緣效果可以 獲得改善’較窄邊界的接觸製程亦得以完成,亦即,欲連 接源/汲極區(圖中未示)的接觸窗口及接觸插塞可部分覆 蓋淺渠溝隔離26,而不會影響到原電路的正常動作。 另外’請參考第3A〜3H圖,此為本發明另一實施例的 淺朱溝製程的流程圖。在這個例子中,電晶體閘極壁側的 形成及淺渠溝隔離側遮蔽材料的填入是由同一步驟所完 成。 如第3A圖所示,首先係提供一半導體基底4〇(如矽基 底),其表面以熱氧化法覆蓋有氧化層42(如二氧化矽 層)。 然後,如第3B圖所示,在 形成淺渠溝隔離42a、42b,藉 溝隔離42a、42b的形成步驟可 重述°另外,半導體基底4〇在 的部分亦略為凹陷,如第3B圖 半導體基底4 〇上以習知方法 以疋義電晶體的位置。淺渠 以如前述實施例,因此不再 與淺渠溝隔離42a、42b接面 所示的凹陷部44a、44b。Page 7 —-40 ^ 4½ V. Description of the invention (5) However, it is difficult to perform the filling operation of the shielding material because 'the range of the recessed portion 28a is small'. Therefore, the present invention is further selectively etched to expand the range of the recessed portion 28a to the recessed portion 28b shown in FIG. 2E. In this step, the selective etching step may be isotropic wet etching, which uses hydrofluoric acid (HF) as the coin etching solution. In this way, a shielding material (such as silicon nitride) can be deposited, thereby filling the enlarged recessed portion 28b ', and thereby strengthening the metal intrusion between the semiconductor substrate 20 and the shallow trench isolation 26 and the leakage current phenomenon, such as The filling material 30 shown in FIG. 2F. In addition, 'the insulation effect of the shallow trench isolation 26 can be improved', the contact process with a narrower boundary is also completed, that is, the contact window and contact plug of the source / drain region (not shown) to be connected may be partially Cover the shallow trench isolation 26 without affecting the normal operation of the original circuit. In addition, please refer to FIGS. 3A to 3H, which is a flowchart of a shallow Zhugou process according to another embodiment of the present invention. In this example, the formation of the transistor gate wall side and the filling of the shallow trench isolation side shielding material are performed in the same step. As shown in FIG. 3A, a semiconductor substrate 40 (such as a silicon substrate) is first provided, and its surface is covered with an oxide layer 42 (such as a silicon dioxide layer) by a thermal oxidation method. Then, as shown in FIG. 3B, in the process of forming shallow trench isolations 42a and 42b, the formation steps of trench isolations 42a and 42b can be restated. In addition, the semiconductor substrate 40 is also slightly recessed, as shown in FIG. 3B. The position of the transistor is conventionally determined on the substrate 40. The shallow trenches are the same as in the previous embodiment, so they are no longer separated from the shallow trenches by the recesses 44a, 44b shown at the junctions 42a, 42b.

___4^8425------- 五、發明說明(6) 待渠溝隔離42a、42b形成後’在半導體基底4〇上形成 閘極52。形成閘極52的步驟係,首先,在半導體基底4〇上 依序沈積閘氧化層46(如二乳化梦層)及介電層如光阻 層),如第3C圖所示。 然後,钱刻介電層48以得到欲形成閘極的窗口 5〇,如 第3D圖所示。並將導電材料52(如複晶矽)填入上述窗口 50,如第3E圖所示。接著,去除未覆蓋導電材料之介電層 4 8及閘氧化層4 6後’藉以得到所要的閘極$ 2,如第3 jp圖所 示0 隨後’如前述實施例,選擇性地蝕刻淺渠溝隔離 42a、42b ’藉以擴大凹陷部44a、44b的範圍至第3G圖所示 的凹陷部44a 、44b’ 。在這個步驟中,選擇性地蝕刻步驟 可以是等向的濕式蝕刻,其選用氫氟酸(HF )作為蝕刻溶 液。 如此’遮蔽材料(如氮化矽)便可以沈積,藉以填入擴 大的凹陷部44a 、44b’及形成閘極52的側壁56,如第3H圖 所示。 在這個實施例中,無論是沈積或蝕刻步驟均可以習知 技術予以完成。 綜上所述’本發明的淺渠溝隔離製程可以避免半導體 基底與淺渠溝隔離間的金屬侵入現象、並達成較窄邊界的 ,觸製程’也就是,欲連接源/汲極區的接觸窗及接觸插 可以部分接觸於淺渠溝隔離,而不會影響到原電的正 常動作。___ 4 ^ 8425 ------- V. Description of the invention (6) After the trench isolation 42a, 42b is formed, a gate electrode 52 is formed on the semiconductor substrate 40. The steps for forming the gate electrode 52 are as follows. First, a gate oxide layer 46 (such as a di-emulsion layer) and a dielectric layer such as a photoresist layer are sequentially deposited on the semiconductor substrate 40, as shown in FIG. 3C. Then, the dielectric layer 48 is engraved to obtain a window 50 for forming a gate, as shown in FIG. 3D. A conductive material 52 (such as polycrystalline silicon) is filled into the window 50, as shown in FIG. 3E. Next, after removing the dielectric layer 48 and the gate oxide layer 46 which are not covered with the conductive material, 'the desired gate electrode $ 2 is obtained, as shown in Fig. 3 jp. The trench isolations 42 a and 42 b ′ extend the range of the recesses 44 a and 44 b to the recesses 44 a and 44 b shown in FIG. 3G. In this step, the selective etching step may be isotropic wet etching, which uses hydrofluoric acid (HF) as an etching solution. In this way, a 'shielding material (such as silicon nitride) can be deposited to fill in the enlarged depressions 44a, 44b' and the side wall 56 forming the gate electrode 52, as shown in Fig. 3H. In this embodiment, either the deposition or etching steps can be performed using conventional techniques. In summary, the shallow trench isolation process of the present invention can avoid the metal intrusion phenomenon between the semiconductor substrate and the shallow trench isolation, and achieve a narrower boundary, the contact process, that is, the contact of the source / drain region to be connected The window and the contact plug can be partially contacted with the shallow trench isolation without affecting the normal operation of the original power.

五、發明說明(7) 本發明的 的晶片面積, 另外,本 極後,將氮化 渠溝隔離間擴 基底與淺渠溝 雖然本發 限定本發明, 和範圍内,當 視後附之申請 淺渠溝隔離製程亦可以縮小整體電路所需要 而不會影響到原電路的正常動作。 發明的淺渠溝隔離製程可以在定義電晶體閘 矽(或其他隔離材料)沈積於半導體基底及淺 大的凹陷部,並同時完成閘極側壁及半導體 隔離間之遮蔽。 明已以較佳實施例揭露如上,然其並非用以 任何熟習此技藝者,在不脫離本發明之精神 可做更動與潤飾,因此本發明之保護範圍當 專利範圍所界定者為準。V. Description of the invention (7) The wafer area of the present invention. In addition, after the electrode, the nitrided trench is separated and expanded between the substrate and the shallow trench. Although the present invention limits the scope of the present invention, and within the scope, the attached application The shallow trench isolation process can also reduce the overall circuit requirements without affecting the normal operation of the original circuit. The invented shallow trench isolation process can be used to define transistor gate silicon (or other isolation materials) deposited on the semiconductor substrate and shallow depressions, and at the same time complete the shielding between the gate sidewalls and the semiconductor isolation. The Ming has disclosed the above with a preferred embodiment, but it is not intended to be used by any person skilled in the art. Changes and retouching can be made without departing from the spirit of the present invention. Therefore, the scope of protection of the present invention shall be defined by the patent scope.

第10頁Page 10

Claims (1)

40842& 六、申請專利範圍 1. 一種淺渠溝隔離製程,包括: 提供一半導體基底; 形成一淺渠溝隔離於該半導體基底,該半導體基底表 面在與該淺渠溝隔離鄰接的部分略為凹陷; 選擇性蝕刻該淺渠溝隔離,藉以放大該凹陷部;以及 形成一遮蔽層以填滿該凹陷部。 2. 如申請專利範圍第1項所述之淺渠溝隔離製程,其 中’該半導體基底由妙構成。 3. 如申請專利範圍第1項所述之淺渠溝隔離製程,其 中,該淺渠溝隔離由二氧化矽構成。 4. 如申請專利範圍第1項所述之淺渠溝隔離製程,其 中,該淺渠溝隔‘離之形成步驟係: 蝕刻一隔離窗口於該半導體基底上; 沈積一介電層以覆蓋該隔離窗口;以及 回蝕該介電層以露出該半導體基底表面。 5. 如申請專利範圍第1項所述之淺渠溝隔離製程,其 中,該淺渠溝隔離的選擇性蝕刻步驟是以氫氟酸(HF)為蝕 刻溶液。 6. 如申請專利範圍第1項所述之淺渠溝隔離製程,其 中,該遮蔽層用以遮蔽該半導體基底表面在與該淺渠溝隔 離鄰接的凹陷部分的金屬侵入。 7. 如申請專利範圍第6項所述之淺渠溝隔離製程,其 中,該遮蔽層由氮化石夕構成。 8. —種淺渠溝隔離製程,包括:40842 & 6. Application for patent scope 1. A shallow trench isolation process, comprising: providing a semiconductor substrate; forming a shallow trench isolation from the semiconductor substrate, the surface of the semiconductor substrate is slightly recessed at a portion adjacent to the shallow trench isolation; Selectively etching the shallow trench isolation to enlarge the recessed portion; and forming a shielding layer to fill the recessed portion. 2. The shallow trench isolation process described in item 1 of the scope of patent application, wherein the semiconductor substrate is composed of a semiconductor substrate. 3. The shallow trench isolation process described in item 1 of the scope of patent application, wherein the shallow trench isolation is composed of silicon dioxide. 4. The shallow trench isolation process described in item 1 of the scope of the patent application, wherein the formation steps of the shallow trench isolation are: etching an isolation window on the semiconductor substrate; depositing a dielectric layer to cover the An isolation window; and etching back the dielectric layer to expose the surface of the semiconductor substrate. 5. The shallow trench isolation process described in item 1 of the scope of patent application, wherein the selective etching step of the shallow trench isolation uses hydrofluoric acid (HF) as an etching solution. 6. The shallow trench isolation process as described in item 1 of the patent application scope, wherein the shielding layer is used to shield the surface of the semiconductor substrate from metal intrusion in a recessed portion adjacent to the shallow trench isolation. 7. The shallow trench isolation process as described in item 6 of the scope of patent application, wherein the shielding layer is composed of a nitride stone. 8. — A shallow trench isolation process, including: 第11頁Page 11 40842^ 六、 申請專利範圍 提供一半導體基雇; 形成一淺渠溝隔離於該半導體基底,該半導體基广 面在與該淺渠溝隔離鄰接的部分略為凹陷; _ 定義一閘極於該半導體基底上; 選擇性地蝕刻該淺渠溝隔離,藉以放大該凹陷部;以 及 , 形成^一遮蔽層以填滿該四陷部。 9. 如申請專利範圍第8項所述之淺渠溝隔離製 中,該半導體基底由石夕構成。 、 10. 如申請專利範圚第8項所述之淺渠溝隔離製 中,該淺渠溝隔離由二氧化發構成。 八 11. 如申請專利範圍第8項所述之淺渠溝隔離製程, 中,該淺渠溝隔離的形成步驟係: 、 蝕刻一隔離窗口於該半導體基底上; 沈積一介電層以覆蓋該隔離窗口;以及 回截該介電層以露出該半導體基底表面。 12. 如申請專利範圍第8項所述之淺渠溝隔離製程, 中,該閘極的定義步驟係: 、 沈積一閘氧化層於該半導體基底上; 沈積一介電層於該閘氧化層上; 疋義該介電層以露出欲形成該閘極的區域; 沈積一導電層以填滿該區域;以及 去除該介電層以得到該閘極。 1 3.如申請專利範圍第1 2項所述之淺渠溝隔離製程, 4Q8425 六、申請專利範圍 其中,該介電層由光阻層構成。 1 4.如申請專利範圍第6項所述之淺渠溝隔離製程,其 中,該導電層由複晶矽構成。 1 5.如申請專利範圍第8項所述之淺渠溝隔離製程,其 中,該淺渠溝隔離的選擇性蝕刻步驟是以氫氟酸(H F )為蝕 刻溶液。 1 6.如申請專利範圍第8項所述之淺渠溝隔離製程,其 中,該遮蔽層用以遮蔽該半導體基底表面在與該淺渠溝隔 離鄰接的凹陷部分的金屬侵入。 1 7.如申請專利範圍第8項所述之淺渠溝隔離製程,其 中,該遮蔽層由氮化石夕構成。40842 ^ 6. The scope of the patent application provides a semiconductor substrate; a shallow trench is isolated from the semiconductor substrate, and the wide surface of the semiconductor substrate is slightly recessed at a portion adjacent to the shallow trench isolation; _ defines a gate electrode for the semiconductor On the substrate; selectively etching the shallow trench isolation to enlarge the depression; and forming a shielding layer to fill the four depressions. 9. In the shallow trench isolation system described in item 8 of the patent application scope, the semiconductor substrate is composed of Shi Xi. 10. In the shallow trench isolation system described in item 8 of the patent application, the shallow trench isolation is composed of dioxide. 8. In the shallow trench isolation process described in item 8 of the scope of the patent application, in which the shallow trench isolation is formed by: etching an isolation window on the semiconductor substrate; depositing a dielectric layer to cover the An isolation window; and truncating the dielectric layer to expose the surface of the semiconductor substrate. 12. According to the shallow trench isolation process described in item 8 of the scope of the patent application, the gate is defined by: depositing a gate oxide layer on the semiconductor substrate; depositing a dielectric layer on the gate oxide layer Up; defining the dielectric layer to expose the area where the gate is to be formed; depositing a conductive layer to fill the area; and removing the dielectric layer to obtain the gate. 1 3. The shallow trench isolation process as described in item 12 of the scope of patent application, 4Q8425 6. Scope of patent application, wherein the dielectric layer is composed of a photoresist layer. 1 4. The shallow trench isolation process according to item 6 of the scope of patent application, wherein the conductive layer is composed of polycrystalline silicon. 1 5. The shallow trench isolation process as described in item 8 of the scope of patent application, wherein the selective etching step of the shallow trench isolation uses hydrofluoric acid (H F) as an etching solution. 16. The shallow trench isolation process according to item 8 of the scope of the patent application, wherein the shielding layer is used to shield the surface of the semiconductor substrate from metal intrusion in a recessed portion adjacent to the shallow trench isolation. 1 7. The shallow trench isolation process according to item 8 of the scope of the patent application, wherein the shielding layer is composed of a nitride stone. 第13頁Page 13
TW88100334A 1999-01-11 1999-01-11 Shallow trench isolation process TW408425B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW88100334A TW408425B (en) 1999-01-11 1999-01-11 Shallow trench isolation process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW88100334A TW408425B (en) 1999-01-11 1999-01-11 Shallow trench isolation process

Publications (1)

Publication Number Publication Date
TW408425B true TW408425B (en) 2000-10-11

Family

ID=21639363

Family Applications (1)

Application Number Title Priority Date Filing Date
TW88100334A TW408425B (en) 1999-01-11 1999-01-11 Shallow trench isolation process

Country Status (1)

Country Link
TW (1) TW408425B (en)

Similar Documents

Publication Publication Date Title
US6303418B1 (en) Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US5885883A (en) Methods of forming trench-based isolation regions with reduced susceptibility to edge defects
US20080050920A1 (en) Method of manufacturing semiconductor device
TW425668B (en) Self-aligned contact process
KR100845103B1 (en) Method of fabricating the semiconductor device
KR19980020105A (en) Manufacturing Method of Semiconductor Device Using Shallow Trench Separation
CN107464741A (en) A kind of semiconductor devices and its manufacture method, electronic installation
US7354834B2 (en) Semiconductor devices and methods to form trenches in semiconductor devices
TW408425B (en) Shallow trench isolation process
KR100680948B1 (en) Method for manufacturing storage node contact of semiconductor device
JPH11284142A (en) Dual extended gate
JP3053009B2 (en) Method for manufacturing semiconductor device
KR20030056602A (en) Method of forming an isolation film in semiconductor device
KR100214530B1 (en) Method for forming trench element isolation structure
KR100249023B1 (en) Semiconductor element isolating method
KR100343132B1 (en) Method for forming isolation layer of semiconductor
KR100344837B1 (en) Semiconductor Device and Method for Fabricating of the Same
KR100524916B1 (en) Trench isolation method of semiconductor integrated circuit
KR100800106B1 (en) Method for forming trench isolation layer in semiconductor device
KR100209927B1 (en) Method for isolating semiconductor device
KR100290912B1 (en) Method for fabricating isolation region of semiconductor device
JP2000200830A (en) Manufacture of semiconductor device having trench element isolation region
TW465021B (en) Manufacturing method for shallow trench isolation (STI) on a semiconductor chip
KR100829369B1 (en) Formation method of shallow trench isolation in semiconductor device
KR100223825B1 (en) Method of forming an element isolation region in a semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent