TW202414707A - 半導體晶粒封裝及其形成方法 - Google Patents

半導體晶粒封裝及其形成方法 Download PDF

Info

Publication number
TW202414707A
TW202414707A TW112108062A TW112108062A TW202414707A TW 202414707 A TW202414707 A TW 202414707A TW 112108062 A TW112108062 A TW 112108062A TW 112108062 A TW112108062 A TW 112108062A TW 202414707 A TW202414707 A TW 202414707A
Authority
TW
Taiwan
Prior art keywords
semiconductor die
dielectric layer
semiconductor
forming
region
Prior art date
Application number
TW112108062A
Other languages
English (en)
Inventor
葉宗浩
劉建宏
陳憲融
汪信亨
黃國欽
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202414707A publication Critical patent/TW202414707A/zh

Links

Images

Abstract

一種半導體晶粒封裝包括在第一半導體晶粒的元件區上的高介電常數介電層。第一半導體晶粒以晶圓疊晶圓(wafer on wafer,WOW)型配置方式接合在一起。矽穿孔結構貫穿元件區。高介電常數介電層具有本質負電荷極性,以提供用於調整元件區內的電位的耦合電壓。特別來說,高介電常數介電層中的電子載流子吸引元件區中的電洞載流子,此抑制蝕刻容納矽穿孔結構的凹陷時形成的表面缺陷所導致的陷阱輔助通道。據此,本文所述的高介電常數介電層減少在第一半導體晶粒的元件區中的半導體元件內的電流洩漏之可能性(及/或幅值)。

Description

半導體晶粒封裝及其形成方法
各種半導體元件封裝技術被用以將一或多個半導體晶粒整合至半導體元件封裝中。在一些情況下,多個半導體晶粒可堆疊於半導體元件封裝中,以使半導體元件封裝具有較小的水平或橫向佔據面積、及/或提高半導體元件的密度。可用於將多個半導體晶粒整合至半導體元件封裝的半導體元件封裝技術可包括積體扇出型(integrated fanout,InFO)封裝、疊層式封裝(package on package,POP)、晶片堆疊晶圓型(chip on wafer,CoW)封裝、晶圓疊晶圓型(wafer on wafer,WoW)封裝、及/或基板上晶圓晶片型(chip on wafer on substrate,CoWoS)封裝以及其他實例。
以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述組件及布置的具體實例以簡化本公開。當然,這些僅為實例而非旨在進行限制。舉例來說,在以下說明中,在第二特徵之上或第二特徵上形成第一特徵可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中在第一特徵與第二特徵之間可形成附加特徵從而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本公開可在各種實例中重複使用參考編號和/或字母。此種重複使用是為了簡明及清晰起見,且自身並不表示所論述的各種實施例和/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“在…之下(beneath)”、“在…下方(below)”、“下部的(lower)”、“在…上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示一個元件或特徵與另一(其他)元件或特徵的關係。除了圖中所繪示的取向以外,所述空間相對性用語還旨在囊括元件在使用或操作中的不同取向。裝置可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地作出解釋。
在晶圓疊晶圓型(wafer on wafer,WoW)半導體晶粒封裝中,多個半導體晶粒直接接合,以使得此些半導體晶粒以垂直的方式排列於WoW半導體晶粒封裝中。使用直接接合以及垂直堆疊可縮短半導體晶粒之間的內連線長度(其降低功率損號以及訊號傳導次數),且可提高包括WoW半導體晶粒封裝的半導體元件封裝中的半導體晶粒封裝密度。
WoW半導體晶粒封裝中可包括矽穿孔(through silicon via,TSV)結構。TSV結構為加長的導體結構,其延伸穿過WoW半導體晶粒封裝的一或多個半導體晶粒的矽基底(例如元件區)。TSV結構可將一或多個半導體晶粒的後段製程(back end of line,BEOL)區域電性連接至WoW半導體晶粒封裝的重分布結構(以及外部電連接件)。
在一些情況中,TSV結構可鄰近包括於WoW半導體晶粒封裝的半導體晶粒的矽基底中的半導體元件,例如是電晶體或其他類型的半導體元件。特別來說,TSV結構可延伸穿過半導體元件所在的矽基底中所包括的一或多種摻雜井(例如是p型摻雜井、n型摻雜井)。
形成穿過摻雜井的TSV結構可包括蝕刻矽基底以形成穿過摻雜井的凹陷,以及在凹陷中沉積一或多種導體材料而形成TSV結構。在一些情況中,用以形成所述凹陷的蝕刻操作可導致矽基底的在摻雜井中的表面處形成懸鍵(dangling bond)。此些懸鍵可作為電荷的陷阱態(trapping state),其可導致在矽基底中形成陷阱輔助通道(trap-assist tunnel,TAT)。特別來說,若一摻雜井位於具有相反摻雜型的另一摻雜井旁,TAT的形成可導致此兩摻雜井之間的電流洩漏。所述電流洩漏可導致形成於此兩摻雜井中的半導體元件產生漏電,而具有較差的效能且/或失效。WoW半導體晶粒封裝中的TSV結構與半導體元件之間的節距或間距縮短以實現WoW半導體晶粒封裝的更高半導體元件密度時,上述電流洩漏變得更常發生。
在本文所描述的實施例中,半導體晶粒封裝(例如是WoW半導體晶粒封裝)包括第一半導體晶粒的元件區(例如是矽基底)上的高介電常數(high-k)介電層,其中第一半導體晶粒以WoW配置方式接合至第二半導體晶粒。TSV結構(例如是背側TSV(backside TSV,BTSV)結構)可經形成以穿過元件區。高介電常數介電層具有本質負電荷極性(intrinsic negative charge polarity),其提供用以調整元件區中的電位的耦合電壓。特別來說,高介電常數介電層中的負電荷(例如是電子載流子)吸引元件區中的電洞載流子(hole charge carrier),此抑制由蝕刻TSV結構的凹陷期間所形成的表面缺陷導致的陷阱輔助通道(trap-assist tunnel,TAT)。因此,本文所描述的高介電常數介電層減少包括在第一半導體晶粒的元件區中的半導體元件的電流洩漏之可能性(及/或幅值)。在還包括其他優點的情況下,此可提高半導體元件的效能,且/或使半導體元件可更緊密的排列且更靠近TSV結構,其可縮短第一半導體晶粒中半導體元件的節距並提高半導體元件密度。
圖1是繪示實施本文所描述的系統及/或方法所在的範例環境100的示意圖。如圖1所示,範例環境100可包括多個半導體處理設備102-114以及晶圓/晶粒傳送設備116。所述多個半導體處理設備102-114可包括沉積設備102、曝光設備104、顯影設備106、蝕刻設備108、平坦化設備110、鍍覆設備112、接合設備114及/或其他類型的半導體處理設備。範例環境100所包括的設備可例如是在無塵室、半導體代工廠、半導體處理設施及/或半導體製造設施中。
沉積設備102為一種半導體處理設備,包括半導體處理腔體以及能夠在基底上沉積各種材料的一或多個元件。在一些實施例中,沉積設備102包括旋轉塗布設備,其能夠在例如是晶圓的基底上沉積光阻層。在一些實施例中,沉積設備102包括化學氣相沉積(chemical vapor deposition,CVD)設備(例如是電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)設備、高密度電漿輔助化學氣相沉積(high-density plasma CVD,HDP-CVD)設備、次大氣壓化學氣相沉積(sub-atmospheric CVD,SACVD)設備、低壓化學氣相沉積(low-pressure CVD,LPCVD)設備、原子層沉積(atomic layer deposition,ALD)設備、電漿輔助原子層沉積(plasma-enhanced atomic layer deposition,PEALD)設備或其他種類的CVD設備。在一些實施例中,沉積設備102包括物理氣相沉積(physical vapor deposition,PVD)設備,例如是濺鍍設備或其他種類的PVD設備。在一些實施例中,沉積設備102包括磊晶設備,經配置以藉由磊晶成長來形成元件的層及/或區域。在一些實施例中,範例環境100包括多種類型的沉積設備102。
曝光設備104為一種半導體處理設備,其能夠將光阻層暴露至幅射源。舉例而言,幅射源可為紫外光(ultraviolet light,UV)源(例如是深紫外光(deep UV)源、極紫外光(extreme UV,EUV)源及/或其類似者)、X光源、電子束(electron beam,e-beam)源及/或其類似者)。曝光設備104可將光阻層暴露至幅射源,以將光罩的圖案轉移至光阻層。所述圖案可包括用於形成一或多個半導體元件的一或多個半導體元件層圖案,可包括用於形成半導體元件的一或多個結構的圖案,可包括用於蝕刻半導體元件的多個部分的圖案及/或其類似者。在一些實施例中,曝光設備104包括掃描式曝光機、步進式曝光機或類似種類的曝光設備。
顯影設備106為一種半導體處理設備,能夠對已暴露至幅射源的光阻層進行顯影,以在光阻層上顯現出自曝光設備104所轉移至光阻層的圖案。在一些實施例中,曝光設備106藉由移除光阻層的未經曝光部分來實現圖案的顯影。在一些實施例中,曝光設備106藉由移除光阻層的經曝光部分來實現圖案的顯影。在一些實施例中,曝光設備106藉由使用化學曝光劑來溶解光阻層的經曝光或未經曝光部分來實現圖案的顯影。
蝕刻設備108為一種半導體處理設備,其能夠對基底、晶圓或半導體元件的各種材料進行蝕刻。舉例而言,蝕刻設備108可包括濕式蝕刻設備、乾式蝕刻設備或其類似者。在一些實施例中,蝕刻設備108包括填有蝕刻劑的腔體,且基底被放置在腔體中一段特定時間,而以特定量移除基底的一或多個部分。在一些實施例中,蝕刻設備108可使用電漿蝕刻或電漿輔助蝕刻來蝕刻基底的一或多個部分。所述電漿蝕刻或電漿輔助蝕刻可包含使用解離氣體來等向性地或指向性地蝕刻基底的一或多個部分。
平坦化設備110為一種半導體處理設備,能夠對晶圓或半導體元件的多個層進行研磨(polishing)或平坦化(planarizing)。舉例而言,平坦化設備110可包括化學機械平坦化(chemical mechanical planarization,CMP)設備及/或研磨或平坦化鍍覆材料的另一種平坦化設備。平坦化設備110可經由化學與機械能(例如是化學蝕刻與無磨粒研磨)來研磨或平坦化半導體元件的表面。平坦化設備110可搭配使用磨粒、腐蝕性化學漿料(corrosive chemical slurry)以及研磨墊與夾持環(例如是直徑大於半導體元件的直徑)。研磨墊與半導體元件經動態的研磨頭(dynamic polishing heat)壓合,且被夾持環所固持。動態研磨頭可以不同軸旋轉,以移除材料且將半導體元件的不規則表面(irregular topography)平坦化,而使得半導體元件變為平坦(flat/planar)。
鍍覆設備112為一種半導體處理設備,能夠在基底(例如是晶圓、半導體元件及/或類似者)或基底的一部分上鍍覆一或多種金屬。舉例而言,鍍覆設備112可包括銅電鍍元件、鋁電鍍元件、鎳電鍍元件、錫電鍍元件、化合物/合金(例如是錫-銀、錫-鉛及/或其類似者)電鍍元件及/或一或多種其他導體材料、金屬及/或類似材料的電鍍元件。
接合設備114為一種半導體處理設備,能夠接合二個或更多工件(例如是二個或更多半導體基底、二個或更多半導體元件、二個或更多半導體晶粒)。舉例而言,接合設備114可包括混合接合設備(hybrid bonding tool)。混合接合設備為經配置以直接地經由銅對銅連接(或其他金屬的直接連接)而接合半導體晶粒的接合設備。作為另一實例,接合設備114可包括共晶接合設備,能夠藉由形成共晶鍵結而接合二個或更多晶圓。在此實例中,接合設備114可對二個或多個晶圓進行加熱,以在此些晶圓的材料之間形成共晶系統。
晶圓/晶粒傳送設備116包括活動的機器人、機械手臂、軌道運載工具(tram/rail car)、空中行走式搬運(overhead hoist transport,OHT)系統、自動物料搬運系統(automated materially handling system,AMHS)或經配置以在半導體處理設備102-114之間傳送基底及/或半導體元件、經配置以在相同半導體處理設備的不同處理腔體之間傳送基底及/或半導體元件、且/或經配置以將基底及/或半導體元件重送至例如是晶圓架(wafer rack)、儲藏室及/或其類似者的其他位置或從此些位置取出基底及/或半導體元件)的另一種元件。在一些實施例中,晶圓/晶粒傳送設備116可為經程式化的元件,其經配置以沿著特定路徑移動且/或可自主或半自主地操作。在一些實施例中,範例環境100包括多個晶圓/晶粒傳送設備116。
舉例而言,晶圓/晶粒傳送設備116可包括於多腔式設備(cluster tool)或包括多個處理腔式的另一種設備中,且可例如是經配置以在多個處理腔室之間傳送基底及/或半導體元件、在處理腔室與暫存區(buffer area)之間傳送基底及/或半導體元件、在處理腔室與例如是設備前端模組(equipment front end module,EFEM)的中介設備(interface tool)之間傳送基底及/或半導體元件、且/或在處理腔室與傳送載體(例如是前開式晶圓傳送盒(front opening unified pod,FOUP))之間傳送基底及/或半導體元件。在一些實施例中,晶圓/晶粒傳送設備116可包括於多腔室沉積設備102中,其可包括預清潔處理腔室(例如是用於自基底及/或半導體元件清理或移除氧化物、氧化及/或其他類型的汙染物或副產物)以及多種沉積處理腔室(例如是用於沉積不同種類的材料的處理腔室、用於進行多種沉積操作的處理腔室)。在此些實施例中,晶圓/晶粒傳送設備116經配置以在不破真空(或至少維持部分真空)的情況下於沉積設備102的處理腔室之間傳送基底/半導體元件。
在一些實施例中,一或多個半導體處理設備102-114及/或晶圓/晶粒傳送設備116可進行本文所描述的一或多個半導體處理操作。舉例而言,半導體處理設備102-114中的一或多者及/或晶圓/晶粒傳送設備116可用以:將第一半導體晶粒與第二半導體晶粒在接合介面處接合,其中接合介面位於第二半導體晶粒的第一側;在第二半導體晶粒的相對於第一側的第二側形成高介電常數介電層,其中高介電常數介電層具有負電荷極性(negative charge polarity);自第二半導體晶粒的第二側形成穿過高介電常數介電層與第二半導體晶粒的元件區而伸入第二半導體晶粒的內連線區以暴露出內連線區內的一部分金屬化層的凹陷;且/或在凹陷中形成BTSV結構。
圖1所示的元件標號與配置方式提供為一或多個實例。實際上,可相較於圖1所示而有額外的元件、較少的元件、不同的元件或以不同配置方式配置的元件。再者,圖1所示的二或更多元件可實施為單一元件。或者圖1所示的單一元件可實施為多個分開的元件。額外地或替代地,範例環境100的一組元件(例如是一或多個元件)可進行被描述成以範例環境100的另一組元件所進行的操作。
圖2是本文所描述的範例半導體晶粒封裝200的示意圖。半導體晶粒封裝200包括範例晶圓疊晶圓型(wafer on wafer,WoW)半導體晶粒封裝或其中半導體晶粒直接接合且垂直地配置或堆疊的另一種半導體晶粒封裝。
如圖2所示,半導體晶粒封裝200包括第一半導體晶粒202與第二半導體晶粒204。在一些實施例中,半導體晶粒封裝200包括額外的半導體晶粒。第一半導體晶粒202可包括系統單晶片(system on chip,SoC)晶粒,例如是邏輯晶粒、中央處理單元(central processing unit,CPU)晶粒、圖像處理單元(graphics processing unit,GPU)晶粒及/或其他類型的SoC晶粒。額外地或替代地,第一半導體晶粒202可包括記憶體晶粒、輸入/輸出(input/output,I/O)晶粒、像素感測(pixel sensor)晶粒及/或其他類型的半導體晶粒。記憶體晶粒可包括靜態隨機存取記憶體(static random access memory,SRAM)晶粒、動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、反及型快閃記憶體晶粒、高頻寬記憶體(high bandwidth memory,HBM)晶粒及/或其他類型的記憶體晶粒。第二半導體晶粒204可在類型上與第一半導體晶粒202相同或不同。
第一半導體晶粒202與第二半導體晶粒204可在接合介面206處彼此接合(例如是直接接合)。在一些實施例中,在第一半導體晶粒202與第二半導體晶粒204之間的接合介面206處可包括一或多層材料層,例如是一或多層保護層(passivation layer)、一或多層接合膜及/或一或多層及他類型的材料層。在一些實施例中,第二半導體晶粒204的厚度在約0.5 μm至約5 μm的範圍中。然而,具有在其他範圍中的厚度的第二半導體晶粒204亦落於本揭露的範疇之中。
第一半導體晶粒202可包括元件區208以及鄰近於元件區208及/或位於元件區208之上的內連線區210。在一些實施例中,第一半導體晶粒202可包括額外的區域。相似地,第二半導體晶粒204可包括元件區212以及鄰近於元件區212及/或位於元件區212之上的內連線區214。在一些實施例中,第二半導體晶粒204可包括額外的區域。第一半導體晶粒202與第二半導體晶粒204可在內連線區210、214處彼此接合。接合介面206可位於內連線區214的面向內連線區210的第一側,且對應至第二半導體晶粒204的第一側。
元件區208、212可分別包括矽基底、由包括矽的材料所形成的基底或III-V族化合物半導體材料基底(例如是砷化鎵基底、絕緣體上覆矽,例如是(silicon on insulator,SOI)基底、鍺基底、矽鍺基底、碳化矽基底或其他類型的半導體基底。元件區212可包括在元件區212的基底中的一或多個半導體元件216。元件區208可包括在元件區208的基底中的一或多個半導體元件218。半導體元件216、218可分別包括一或多個電晶體(例如是平面型電晶體、鰭式場效電晶體(fin field effect transistor,FinFET)、奈米片電晶體(例如是閘極全環繞(gate all around,GAA)電晶體))、記憶體胞元、電容、電感、電阻、像素感測器及/或其他類型的半導體元件。
內連線區210、214可稱為後段製程(back end of line,BEOL)區。內連線區212可包括一或多層介電層220,其可包括氮化矽、氧化物(例如是氧化矽及/或其他氧化物材料)、低介電常數(low-k)介電材料及/或其他類型的介電材料。在一些實施例中,一或多層蝕刻停止層(etchig stop layer,ESL)可包括於一或多層介電層220之間。舉例而言,ESL可包括氧化鋁、氮化鋁、氮化矽、氮氧化矽、氮氧化鋁及/或氧化矽等。
內連線區210更可包括在一或多層介電層220中的金屬化層222。元件區208中的半導體元件218可電性連接及/或實體連接至一或多層金屬化層222。金屬化層222可包括導線、溝槽、通孔、柱、內連結構及/或其他類型的金屬化層。接觸結構224可包括於內連線區210的一或多層介電層220中。接觸結構224可電性連接及/或實體連接於一或多層金屬化層222。接觸結構224可包括導電端子、導體接墊、導體柱及/或其他類型的接觸結構。金屬化層222與接觸結構224可分別包括一或多種導體材料,例如是銅、金、銀、鎳、錫、釕、鈷、鎢、鈦、一或更多種金屬、一或更多種導電陶瓷及/或其他類型的導體材料。
內連線區214可包括一或多層介電層226,其可包括氮化矽、氧化物(例如是氧化矽及/或其他氧化物材料)、低介電常數(low-k)介電材料及/或其他類型的介電材料。在一些實施例中,一或多層蝕刻停止層(etchig stop layer,ESL)可包括於一或多層介電層226之間。舉例而言,ESL可包括氧化鋁、氮化鋁、氮化矽、氮氧化矽、氮氧化鋁及/或氧化矽等。
內連線區214更可包括在一或多層介電層226中的金屬化層228。元件區212中的半導體元件216可電性連接及/或實體連接至一或多層金屬化層228。金屬化層228可包括導線、溝槽、通孔、柱、內連結構及/或其他類型的金屬化層。接觸結構230可包括於內連線區214的一或多層介電層226中。接觸結構230可電性連接及/或實體連接於一或多層金屬化層228。再者,接觸結構230可電性連接及/或實體連接於第一半導體晶粒202的接觸結構224。接觸結構230可包括導電端子、導體接墊、導體柱及/或其他類型的接觸結構。金屬化層228與接觸結構230可分別包括一或多種導體材料,例如是銅、金、銀、鎳、錫、釕、鈷、鎢、鈦、一或更多種金屬、一或更多種導電陶瓷及/或其他類型的導體材料。
如圖2更示出,半導體晶粒封裝200可包括重分布結構232。重分布結構232可包括重分布層(redistribution layer,RDL)及/或其他類型的重分布結構。重分布結構232可經配置以將半導體晶粒202、204的訊號與I/O(以扇出的方式)繞線。
重分布結構232可包括一或多層介電層234以及設置於一或多層介電層234中的多層金屬化層236。介電層234可包括氮化矽、氧化物(例如是氧化矽及/或其他種類的氧化物材料)、低介電常數(low-k)介電材料及/或其他適合的介電材料。
重分布結構232的金屬化層236可包括一或多種材料,例如示金、銅、銀、鎳、錫及/或鈀等。重分布結構232的金屬化層236可包括金屬線、通孔、內連結構及/或其他類型的金屬化層。
如圖2更示出,半導體晶粒封裝200可包括穿過元件區212且伸入一部分內連線區214的一或多個BTSV結構238。一或更多BTSV結構238可包括垂直延長的導體結構(例如是導體柱、導通孔),其將第二半導體晶粒204的內連線區214中的一或多層金屬化層228電性連接至重分布結構232中的一或多層金屬化層236。BTSV結構238可稱為矽穿孔(through silicon via,TSV)結構,因BTSV結構238完整地延伸穿過矽基底(例如是元件區212的矽基底),而非完整地延伸穿過介電層或絕緣層。一或多個BTSV結構238可包括一或多種導體材料,例如是銅、金、銀、鎳、錫、釕、鈷、鎢、鈦、一或多種金屬、一或多種導電陶瓷及/或其他種類的導體材料。
第二半導體晶粒204與重分布結構232之間可包括緩衝氧化層240。特別來說,緩衝氧化層240可接觸第二半導體晶粒204的第二側或位於第二半導體晶粒204的第二側上方。一或多個BTSV結構238可延伸穿過緩衝氧化層240。緩衝氧化層240可包括作為第二半導體晶粒204的元件區212與重分布結構232之間的緩衝的一或多層氧化層。緩衝氧化層240可包括一或多種氧化物材料,例如是氧化矽、碳氧化矽、氮氧化矽及/或其他種類的氧化物材料。
在第二半導體晶粒204與重分布結構232之間可包括高介電常數介電層242。特別來說,高介電常數介電層242可位於第二半導體晶粒204的第二側上方,且接觸緩衝氧化層240。一或多個BTSV結構238可延伸穿過高介電常數介電層242。
高介電常數介電層242為具有負電荷極性(negative charge polarity)的材料層。換言之,高介電常數介電層242包括具有過量電子載流子(electron charge carrier)的一或多種材料。高介電常數介電層242可具有本質負電荷極性,因高介電常數介電層242所選用的材料可具有過量的電子載流子。高介電常數介電層242的負電荷極性促使元件區212的矽基底中的電洞載流子被以朝向高介電常數介電層242中的電子載流子之方向吸引。
如上所述,在蝕刻容納BTSV結構238的凹陷期間形成的懸鍵可作為電荷陷阱態,其可導致在元件區212的矽基底中形成陷阱輔助通道(trap-assist tunnel)。陷阱輔助通道可導致從p型井302而經由BTSV結構238至n型井304的漏電流。漏電流可穿過與半導體元件216相關聯的相鄰摻雜井。高介電常數介電層242的負電荷極性提供耦合電壓,以調整元件區212的矽基底中的電位。特別來說,高介電常數介電層242中的電子載流子吸引元件區212的矽基底中的電洞載流子,此抑制在蝕刻容納BTSV結構238的凹陷期間形成的表面缺陷所導致的陷阱輔助通道。因此,高介電常數介電層242可降低半導體元件216中的漏電流發生的可能性(及/或漏電流的幅值)。
在一些實施例中,高介電常數介電層242的厚度在約20 Å至約500 Å的範圍中,因此能提供足量的電子載流子,以吸引元件區212的矽基底中的電洞載流子,而抑制陷阱輔助通道。然而,具有其他厚度範圍的高介電常數介電層242也包含在本揭露的範疇中。
高介電常數介電層242可包括一或多種高介電常數介電材料,例如是氧化鉿、氧化鋁、氧化鉭、氧化鎵、氧化鈦、氧化鈮及/或其他適合的高介電常數介電材料等。額外地或替代地,高介電常數介電層242可包括一或多種低介電常數介電材料。高介電常數介電層242的材料及/或厚度可經選擇以使得高介電常數介電層242中包括有足量的電子載流子。
在一些實施例中,高介電常數介電層中的電子載流子的等效表面電荷密度在約每平方公分-8∙10 -9庫倫(亦即-8∙10 -9C/cm 2)至約-1.6∙10 -7C/cm 2的範圍中,以提供足量的電子載流子,而能夠吸引元件區212的矽基底中的電洞載流子,且能夠抑制陷阱輔助通道。然而,具有在其他範圍中的等效表面電荷密度的高介電常數介電層也包含在本揭露的範疇之中。
凸塊下金屬層244可包括在一或多層介電層234的頂面上。凸塊下金屬層244可電性連接至及/或實體連接於重分布結構232的一或多層金屬化層236。凸塊下金屬層244可包括於一或多層介電層234的頂面的凹陷中。凸塊下金屬層244可包括一或多種導體材料,例如是銅、金、銀、鎳、錫、釕、鈷、鎢、鈦、一或多種金屬、一或多種導電陶瓷及/或其他種類的導體材料。
如圖2所進一步示出,半導體晶粒封裝200可包括導電端子246。導電端子246可電性連接及/或實體連接於凸塊下金屬層244。凸塊下金屬層244可經設置以促進導電端子246與重布線結構232中的一或多層金屬化層236的接著,且/或為導電端子246提供更強的結構剛度(藉由增大導電端子246所連接的表面積)。導電端子246可包括球柵陣列(ball grid array)凸塊、平面網格陣列(land grid array,LGA)接墊、針格陣列(pin grid array,PGA)針腳及/或其他類型的導電端子。導電端子246可使半導體晶粒封裝200能夠安裝至電路板、插槽(例如是LGA插槽)、中介層或半導體元件封裝(例如是基板上晶圓晶片型(chip on wafer on substrate,CoWoS)封裝、積體扇出型(integrated fanout,InFO)封裝)的重布線結構及/或其他類型的安裝結構。
如圖2所進一步示出,半導體晶粒封裝200可包括一或多個區域248,在其中BTSV結構238鄰近(例如是鄰近、緊鄰及/或穿過)第二半導體晶粒204的元件區212中的半導體元件216。隨後的圖式(例如是圖3A與圖3B)可參照半導體晶粒封裝200的區域248。
如上所述,圖2僅提供為一實例。其他實例可相異於參照圖2所描述的實例。
圖3A與圖3B是繪示本文所描述的半導體晶粒封裝200的區域248的範例實施例的示意圖。區域248包括在元件區212的矽基底中的一或多個半導體元件216鄰近處延伸且穿過緩衝氧化層240與高介電常數介電層242的BTSV結構238。
如圖3A所示,多個摻雜區包括於元件區212的矽基底中。舉例而言,p型井302可包括於元件區212的矽基底中。p型井302可包括矽基底的經摻雜有一或多種p型摻質(例如是硼或鍺等摻質)的部分。作為另一實例,n型井304可位於元件區212的矽基底中。n型井304可鄰近於p型井302(例如是相鄰於p型井302或與p型井302並排),以使得p型井302與n型井304的邊界在介面處相接。n型井304可包括一或多種n型摻質,例如是磷或砷等。在一些實施例中,更包括額外的摻雜區,例如是在n型井304下方的深n型井306。
如圖3A所進一步示出,一或多個半導體元件216可包括源極/汲極區308以及源極/汲極區310。在一些實施例中,源極/汲極區308與源極/汲極區310包括在BTSV結構238的相對兩側。在不同的情況中,源極/汲極區稱作源極區、汲極區或源極區與汲極區的組合。源極/汲極區308、310可為一或多個半導體元件216的一或多個電晶體的源極/汲極區。
源極/汲極區308、310包括摻雜有一或多種摻質的矽。所述摻質例如是p型材料(例如是硼、鍺等)、n型材料(例如是磷或砷等)及/或其他類型的摻質。舉例而言,源極/汲極區308可包括於n型井304中,且可稱作n型源極/汲極區,因源極/汲極區308經一或多種n型摻質所摻雜。作為另一實例,源極/汲極區310可包括於p型井302中,且可稱作p型源極/汲極區,因源極/汲極區310經一或多種p型摻質所摻雜。
淺溝槽隔離(shallow trench isolation,STI)區312可包括於源極/汲極區308、310之間,以提供源極/汲極區308、310之間的電性隔離。STI區312可包括介電材料,例如是氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、低介電常數介電材料及/或其他適合的絕緣材料。STI區312可包括多層結構,例如是具有一或多層襯層。
如圖3A所進一步示出,側壁間隔件314可包括於BTSV結構238周圍,且位於BTSV結構238與p型井302之間。再者,側壁間隔件314可包括於BTSV結構238與元件區212的矽基底之間。此外,側壁間隔件314可包括於BTSV結構238與緩衝氧化層240之間。另外,側壁間隔件314可包括於BTSV結構238與高介電常數介電層242之間。側壁間隔件314可包括一或多種介電材料,例如是氧化矽(例如是二氧化矽)、氮化矽及/或氮氧化矽等。
BTSV結構238可延伸穿過p型井302,但並未穿過n型井304。再者,BTSV結構238並未延伸穿過任何其他n型井,其將導致BTSV結構238與其他n型井之間的直接電流洩漏。BTSV結構238的側壁以距離D1而與鄰近n型井304的p型井302的邊緣間隔開,且BTSV結構238的側壁至p型井302的邊緣之間的區域可稱為禁區(keep-out-zone,KOZ)。KOZ可視為禁止將BTSV結構238放置在緊鄰n型井的p型井302的邊緣附近的設計準則。如上所述,在蝕刻用於容納BTSV結構238的凹陷期間所形成的懸鍵可作為電荷陷阱態,其可導致在元件區212的矽基底中形成陷阱輔助通道。陷阱輔助通道可導致從p型井302而經由BTSV結構238至n型井304的電流洩漏。據此,距離D1可經選擇以降低或阻止因BTSV結構238所產生的漏電流。
高介電常數介電層242的負電荷極性提供耦合電壓,以調整元件區212的矽基底中的電位。特別來說,高介電常數介電層242中的電子載流子吸引元件區212的矽基底中的電洞載流子,其抑制在蝕刻容納BTSV結構238的凹陷期間形成的表面缺陷所導致的陷阱輔助通道。此使得距離D1得以縮短,且BTSV結構238可在不提高在一或多個半導體元件中的產生漏電流的可能性及/或提高漏電流幅值的情況下而被放置於更靠近p型井302的邊緣(例如是p型井302的緊鄰或交界於n型井304的邊緣)。在一些實施例中,基於高介電常數介電層242的負電荷極性,距離D1在約0.2 μm至約2 μm的範圍中。若不設置高介電常數介電層242,距離D1則在約0.5 μm至約50 μm的範圍中。然而,距離D1在其他範圍的情況也落於本揭露的範疇之中。
圖3B繪示沿著圖3A的A-A線的切面示意圖(沿著此切面由上往下看的上視示意圖)。如圖3B所示,側壁間隔件314可環繞BTSV結構238,以使BTSV結構238並未直接接觸於p型井302(且因此,並未直接接觸元件區212的矽基底)。若BTSV結構238直接接觸p型井302,則可導致電流洩漏及/或銅遷移至矽基底中、及/或導致BTSV結構238自矽基底分層等問題。
如上所述,圖3A與圖3B提供為一個實例。其他實例可相異於參照圖3A與圖3B所描述的實例。
圖4是繪示本文所描述的各種高介電常數(high-k)介電材料的電荷極性的範例實施例400的示意圖。本文所述的高介電常數介電層242可包括一或多種高介電常數介電材料。
如範例實施例400所繪示,電荷極性為介面態密度( D it )402(單位為eV -1/cm 2)與固定電荷密度( Q f/q )404(單位為cm -2)的函數。如圖4所示,例如是氧化鉿、氧化鋁、氧化鉭、氮化鋁與氧化鎵的高介電常數介電材料對應於所有介面態密度而具有負的固定電荷密度404(以氧化鉿的實例而言,主要是負的固定電荷密度404)。例如是氧化鈦與氧化鈮的其他高介電常數介電材料也具有對應於此些高介電常數介電材料的各介面態密度的負固定電荷密度404。
如上所述,圖4提供為一個實例。其他實例可相異於參照圖4所描述的實例。
圖5是繪示本文所描述的空乏區邊緣502的範例實施例500的示意圖。空乏區邊緣502可出現於本文所描述的第二半導體晶粒204的元件區212的矽基底中的p型井302內。
空乏區邊緣502代表在p型井302中的空乏區的邊緣。在此空乏區(例如是在p型井302中位於n型井304的邊緣與空乏區邊緣502之間的區域)中,內建電場存在於將被排開的大多數載流子中。若空乏區邊緣接觸BTSV結構238,則內建電場可容易地產生通過BTSV結構238的側壁處的懸鍵的電流洩漏路徑。
基於高介電常數介電層242具有負電荷極性,高介電常數介電層242中的負電荷載流子/電子載流子能夠吸引p型井302中的電洞載流子,其可抑制空乏區以及減少空乏區的寬度D2。此導致空乏區502可自BTSV結構238退縮,而不是朝向BTSV結構238而擴大(此將導致電流洩漏)。在一些實施例中,高介電常數介電層242的本質負電荷極性導致空乏區的寬度D2小於或約等於1.22 μm。然而,具有在其他範圍的寬度D2的空乏區也落於本揭露的範疇之中。
如上所述,圖5提供為一個實例。其他實例可相異於參照圖5所描述的實例。
圖6A至圖6E是繪示本文所描述的形成半導體晶粒的範例實施例600的示意圖。在一些實施例中,範例實施例600包括用於形成第二半導體晶粒204的範例製程(或範例製程的一部分)。將參照圖6A至圖6E所描述的操作對應於第二半導體晶粒204。可進行相似的操作以形成第一半導體晶粒202。
在一些實施例中,可藉由半導體處理設備102-114及/或晶圓/晶粒傳送設備116來進行參照圖6A至圖6E所描述的操作。在一些實施例中,可藉由其他半導體處理設備來進行參照圖6A至圖6E所描述的操作。請參照圖6A,範例實施例600的一或多個操作可對應第二半導體晶粒204的元件區212中的矽基底來進行。
如圖6B所示,可在元件區212中形成一或多個半導體元件216。舉例而言,半導體處理設備102-114中的一或多者可用以進行微影圖案化操作、蝕刻操作、沉積操作、CMP操作及/或其他種操作,以形成一或多個電晶體、一或多個電容、一或多個記憶胞元及/或一或多個其他類型的半導體元件。在一些實施例中,可在離子植入操作中對元件區的矽基底中的一或多個區域進行摻雜,以形成一或多個p型井302、一或多個n型井304及/或一或多個深n型井306。在一些實施例中,沉積設備102可用以沉積一或多個源極/汲極區308、一或多個源極/汲極區310及/或一或多個STI區312等。
如圖6C至圖6E所示,可在元件區212的矽基底表面及/或矽基底上形成第二半導體晶粒204的內連線區214。半導體處理設備102-114中的一或多者可藉由形成一或多層介電層226以及在介電層226中形成多層金屬化層228,來形成內連線區214。舉例而言,沉積設備102可沉積一或多層介電層226的第一層(例如是藉由使用CVD技術、ALD技術、PVD技術及/或其他類型的沉積技術);蝕刻設備108可移除第一層的一些部分以在第一層中形成凹陷;且沉積設備102及/或鍍覆設備112可在凹陷中形成多層金屬化層228的第一金屬化層(例如是藉由使用CVD技術、ALD技術、PVD技術、電鍍技術及/或其他類型的沉積技術)。第一金屬化層的至少一部分可電性連接至及/或實體連接於半導體元件216。沉積設備102、蝕刻設備108、鍍覆設備112及/或其他半導體處理設備可持續進行類似處理操作以形成內連線區214,直至達成足夠或所希望的金屬化層228之配置。
如圖6E所示,半導體處理設備102-114中的一或多者可用以形成一或多層介電層226的另一層,且可用以形成在此介電層226中形成多個接觸結構230,使得接觸結構230電性連接及/或實體連接於金屬化層228中的一或多者。舉例而言,沉積設備102可用以沉積一或多層介電層226中的所述層(例如是藉由使用CVD技術、ALD技術、PVD技術及/或其他類型的沉積技術);蝕刻設備108可用以移除此介電層226的一些部分以在此介電層226中形成凹陷;且沉積設備102及/或鍍覆設備112可用以在凹陷中形成接觸結構230(例如是藉由使用CVD技術、ALD技術、PVD技術、電鍍技術及/或其他類型的沉積技術)。
如上所述,圖6A至圖6E提供為一個實例。其他實例可相異於參照圖6A至圖6E所描述的實例。
圖7A至圖7D是繪示本文所描述的形成半導體晶粒封裝200的一部分的範例實施例700的示意圖。在一些實施例中,可藉由半導體處理設備102-114及/或晶圓/晶粒傳送設備116來進行參照圖7A至圖7D所描述的一或多個操作。在一些實施例中,可藉由其他半導體處理設備來進行參照圖7A至圖7D所描述的一或多個操作。
如圖7A所示,第一半導體晶粒202與第二半導體晶粒204在接合介面206處彼此接合,使得第一半導體晶粒202與第二半導體晶粒204在WoW配置中垂直排列或垂直堆疊。接合設備114可用以進行接合操作,以將第一半導體晶粒202與第二半導體晶粒204在接合介面206處彼此接合。接合操作可包括直接接合操作(或混合接合操作),其中藉由接觸結構224與接觸結構230的實體連接來實現第一半導體晶粒202與第二半導體晶粒204的接合。
如圖7B所示,可在第二半導體晶粒204上形成緩衝氧化層240。第二半導體晶粒204可在第一側接合於第一半導體晶粒202,而第二半導體晶粒204的第一側可對應至內連線區214的第一側。緩衝氧化層204可形成於第二半導體晶粒204的相對於第一側的第二側上,而第二半導體晶粒204的第二側可對應至第二半導體晶粒204的元件區212的第一側。沉積設備102可藉由使用磊晶技術、CVD技術、PVD技術、ALD技術、參照圖1所描述的另一沉積技術及/或不同於參照圖1所描述的其他沉積技術來沉積緩衝氧化層240。
如圖7B所更進一步示出,可在第二半導體晶粒204上形成高介電常數介電層242。高介電常數介電層242可形成在第二半導體晶粒204的相對於第一側的第二側上,而第二半導體晶粒204的第二側對應至第二半導體晶粒204的元件區212的第一側。高介電常數介電層242可形成於緩衝氧化層240上。沉積設備102可藉由使用磊晶技術、CVD技術、PVD技術、ALD技術、參照圖1所描述的另一沉積技術及/或不同於參照圖1所描述的其他沉積技術來沉積高介電常數介電層242。可在約攝氏150度至約攝氏300度的溫度範圍中沉積高介電常數介電層242。然而,在其他溫度範圍形成高介電常數介電層242也落於本揭露的範疇之中。
如上所述,高介電常數介電層242可具有本質負電荷極性。據此,形成高介電常數介電層242可包括沉積具有本質負電荷極性的一或多種材料,以形成高介電常數介電層242。在沉積上述一或多種材料時,於其中形成晶格缺陷,而導致本質負電荷極性。
如圖7C所示,可形成穿過高介電常數介電層242、緩衝氧化層240、元件區212的矽基底並伸入內連線區214的一部分介電層226的一或多個凹陷702。一或多個凹陷702可暴露出內連線區214中的一或多個部分的金屬化層228。因此,一或多個凹陷702可形成於金屬化層228的一或多個部分上。
在一些實施例中,光阻層中的一個圖案用以形成一或多個凹陷702。在此些實施例中,沉積設備102用以在高介電常數介電層242上形成光阻層。曝光設備104用以將光阻層暴露至幅射源,以圖案化光阻層。顯影設備106用以進行顯影且移除光阻層的一些部分,而顯現出所述圖案。蝕刻設備108用以蝕刻穿過高介電常數介電層242、緩衝氧化層240以及元件區212且伸入內連線區214,而形成一或多個凹陷702。在一些實施例中,蝕刻操作包括電漿蝕刻技術、濕式化學蝕刻技術及/或其他類型的蝕刻技術。在一些實施例中,光阻移除設備用以移除光阻層的殘留部分(例如是藉由化學剝離劑、電漿灰化及/或其他技術)。在一些實施例中,作為替代技術,使用硬遮罩層來基於圖案形成一或多個凹陷702。
如圖7D所示,可在一或多個凹陷702中形成一或多個BTSV結構238。如此一來,一或多個BTSV結構238延伸穿過高介電常數介電層242、緩衝氧化層240與元件區212,且伸入內連線區214。再者,一或多個BTSV結構238可經形成為鄰近於元件區212中的一或多個半導體元件216,且可穿過元件區212的矽基底中的一或多個p型井302(例如是與一或多個半導體元件216相關聯的p型井302)。一或多個BTSV結構238可電性連接及/或實體連接於被一或多個凹陷702所暴露出的一或多個部分的金屬化層228。
沉積設備102及/或鍍覆設備112可藉由CVD技術、PVD技術、ALD技術、電鍍技術、其他參照圖1所說明的沉積技術及/或不同於參照圖1所說明的沉積技術來沉積一或多個BTSV結構238。在一些實施例中,平坦化設備110可用以進行CMP操作,以在沉積一或多個BTSV結構238之後對一或多個BTSV結構238進行平坦化。
如上所述,圖7A至圖7D提供為一個實例。其他實例可相異於參照圖7A至圖7D所描述的實例。
圖8A至圖8D是繪示本文所描述的形成半導體晶粒封裝200的一部分的範例實施例800的示意圖。在一些實施例中,可在進行參照圖7A至圖7D所描述的一或多個操作之後進行參照圖8A至圖8D所描述的一或多個操作。在一些實施例中,可藉由半導體處理設備102-114中的一或多者及/或晶圓/晶粒傳送設備116來進行參照圖8A至圖8D所描述的一或多個操作。在一些實施例中,可藉由其他半導體處理設備來進行參照圖8A至圖8D所描述的一或多個操作。
如圖8A所示,可在第二半導體晶粒204上形成半導體晶粒封裝200的重分布結構232。半導體處理設備102-114中的一或多者可用以形成一或多層介電層234以及在介電層234中形成多層金屬化層236,來形成重布線結構232。舉例而言,沉積設備102可用以沉積一或多層介電層234的第一層(例如是藉由使用CVD技術、ALD技術、PVD技術及/或其他類型的沉積技術);蝕刻設備108可移除第一層的一些部分以在第一層中形成凹陷;且沉積設備102及/或鍍覆設備112可在凹陷中形成多層金屬化層236的第一金屬化層(藉由使用CVD技術、ALD技術、PVD技術、電鍍技術及/或其他類型的沉積技術)。第一金屬化層的至少一部分可電性連接及/或實體連接於一或多個BTSV結構238。沉積設備102、蝕刻設備108、鍍覆設備112及/或其他半導體處理設備可持續進行類似的操作以形成重分布結構232,直至達成足夠或所希望的金屬化層236之配置。
如圖8B所示,可在一或多層介電層234中形成凹陷802。凹陷802可形成以暴露重分布結構232中的一部分金屬化層236。因此,凹陷802可形成於金屬化層236的一或多個部分上。
在一些實施例中,使用光阻層中的圖案來形成凹陷802。在此些實施例中,在此些實施例中,沉積設備102用以在一或多層介電層234上形成光阻層。曝光設備104用以將光阻層暴露至幅射源,以圖案化光阻層。顯影設備106用以進行顯影且移除光阻層的一些部分,而顯現出所述圖案。蝕刻設備108用以蝕刻穿過一或多層介電層234,以形成一或多個凹陷802。在一些實施例中,蝕刻操作包括電漿蝕刻技術、濕式化學蝕刻技術及/或其他類型的蝕刻技術。在一些實施例中,光阻移除設備用以移除光阻層的殘留部分(例如是藉由化學剝離劑、電漿灰化及/或其他技術)。在一些實施例中,作為替代技術,使用硬遮罩層來基於圖案形成凹陷802。
如圖8C所示,可在凹陷802中形成凸塊下金屬層244。沉積設備102及/或鍍覆設備112可藉由CVD技術、PVD技術、ALD技術、電鍍技術、參照圖1所說明的其他技術及/或不同於參照圖1所說明的沉積技術來沉積凸塊下金屬層244。在一些實施例中,在重分布結構232的包括凹陷802的頂面上沉積導體材料的連續層。隨後,例如是藉由沉積設備102、曝光設備104以及顯影設備106來進行圖案化操作以在導體材料的連續層上形成圖案,且藉由蝕刻設備108而基於所述圖案來移除導體材料層的連續層的一些部分。導體材料的連續層的保留部分可對應於凸塊下金屬層244。
如圖8D所示,可在凹陷802中形成位於凸塊下金屬層244上的導電端子246。在一些實施例中,鍍覆設備112用以藉由電鍍技術來形成導電端子246。在一些實施例中,於凹陷802中配置焊料,而用以形成導電端子246。
如上所述,圖8A至圖8D提供為一個實例。其他實例可相異於參照圖8A至圖8D所描述的實例。
圖9是繪示本文所描述的元件900的範例構件的示意圖。在一些實施例中,半導體處理設備102-114中的一或多者及/或晶圓/晶粒傳送設備116可包括一或多個元件900及/或元件900的一或多個構件。如圖9所示,元件900可包括匯流排910、處理器920、記憶體930、輸入構件940、輸出構件950以及通訊構件960。
匯流排910可包括能夠使元件900的多個構件以有線及/或無線的方式通訊的一或多個構件。匯流排910可將圖9所示的二或更多個構件耦合在一起(例如是藉由操作耦合(operative coupling)、通訊耦合(communicative coupling)、電性耦合(electronic coupling)及/或電氣耦合(electric coupling))。處理器920可包括中央處理單元、圖像處理單元、微處理器、控制器、微控制器、數位訊號處理器、現場可程式化邏輯閘陣列(field-programmable gate array)、特殊應用積體電路(applicatoin-specific integrated circuit)及/或其他類型的處理器構件。處理器920實施於硬體、韌體或硬體與軟體的組合中。在一些實施例中,處理器920可包括能夠被程式化而進行本文他處所描述的一或多個操作或製程的一或多個處理器。
記憶體930可包括揮發性及/或非揮發性記憶體。舉例而言,記憶體930可包括隨機存取記憶體(random access memory,RAM)、唯讀記憶體(read only memory,ROM)、硬碟(hard disk drive)及/或其他類型的記憶體(例如是快閃記憶體、磁性記憶體及/或光學記憶體)。記憶體930可包括內部記憶體(例如是RAM、ROM或硬碟)及/或可移除式記憶體(例如是藉由通用序列匯流排(universal serial bus)連接而可被移除)。記憶體930可為非暫態電腦可讀取媒體(non-transitory computer-readable medium)。記憶體930儲存關於元件900的操作的資訊、指令及/或軟體(例如是一或多個軟體應用程式)。在一些實施例中,記憶體930可包括例如是經由匯流排910而耦合至一或多個處理器(例如是處理器920)的一或多個記憶體。
輸入構件940使元件900接收輸入訊號,例如是使用者輸入訊號及/或感測輸入訊號。舉例而言,輸入構件940可包括觸控螢幕、鍵盤、小鍵盤(keypad)、滑鼠、按鈕、麥克風、開關、感測器、全球定位系統(global positioning system)感測器、加速度感測器、陀螺儀及/或致動器。輸出構件950使元件900提供輸出訊號,例如是經由顯示器、揚聲器及/或發光二極體。通訊構件960使元件900能夠藉由有線及/或無線通訊的方式而與其他元件進行通訊。舉例而言,通訊構件960可包括接收器、發送器(transmitter)、收發器(transceiver)、數據機(modem)、網路介面卡(network interface card)及/或天線。
元件900可進行本文所描述的一或多個操作或製程。舉例而言,非暫態電腦可讀取媒體(例如是記憶體930)可儲存由處理器920所執行的一組指令(例如是一或多個指令或編碼)。處理器920可執行此組指令,以進行本文所描述的一或多個操作或製程。在一些實施例中,藉由一或多個處理器920執行此組指令,使一或多個處理器920及/或元件900進行本文所描述的一或多個操作或製程。在一些實施例中,使用固線式電路(hardwired circuitry)或固線式電路與指令的組合來進行本文所描述的一或多個操作或製程。額外地或作為替代地,處理器920可經配置以進行本文所描述的一或多個操作或製程。因此,本文所描述的實施例並不限於固線式電路與軟體的任何特定組合。
圖9所示的元件標號與配置方式提供為一個實例。元件900可包括額外的構件、較少的構件、不同的構件或以相異於圖9所示的配置方式而配置的構件。額外地或作為替代地,元件900的一組構件(例如是一或多個構件)可進行經描述為藉由元件900的其他組構件所執行的一或多個功能。
圖10是繪示關聯於形成半導體晶粒封裝的範例製程1000的流程圖。在一些實施例中,圖10的一或多個製程方塊是由一或多個半導體製程設備(例如是半導體製程設備102-114中的一或多者)所進行。額外地或作為替代地,可由元件900的一或多個構件(例如是處理器920、記憶體930、輸入構件940、輸出構件950及/或通訊構件960)進行圖10所示的一或多個製程方塊。
如圖10所進一步示出,製程1000可包括在半導體晶粒上形成高介電常數介電層(操作1010)。舉例而言,如本文所描述,半導體製程設備102-114中的一或多者可用於在第二半導體晶粒204上形成高介電常數介電層242。在一些實施例中,高介電常數介電層242具有負電荷極性。在一些實施例中,第二半導體晶粒204與第一半導體晶粒202在接合介面206處彼此接合。
如圖10所進一步示出,製程1000可包括形成穿過高介電常數介電層與半導體晶粒的元件區並伸入半導體晶粒的內連線區的一部分以暴露出內連線區的一部分金屬化層的凹陷(操作1020)。舉例而言,如本文所述,半導體製程設備102-114中的一或多者可用以形成穿過高介電常數介電層242與第二半導體晶粒204的元件區212且伸入第二半導體晶粒204的內連線區214以暴露出內連線區214中的一部分金屬化層228的凹陷702。
如圖10所進一步示出,製程1000可包括在凹陷中形成導通孔結構(操作1030)。舉例而言,如本文所述,半導體製程設備102-114中的一或多者可用以在凹陷702中形成BTSV結構238。
製程1000可包括額外實施例,例如是如下所述的單一實施例或多個實施例的任一組合及/或與本文他處所描述的一或多個其他製程相連結。
在第一實施例中,形成BTSV結構238包括形成與第二半導體晶粒204的元件區212中的一或多個半導體元件216相鄰的BTSV結構238。
在第二實施例中,單獨地或與第一實施例合併,形成BTSV結構238包括形成穿過與一或多個半導體元件216相關聯的p型井302的BTSV結構238,其中p型井302相鄰於與一或多個半導體元件216相關聯的n型井304。
在第三實施例中,單獨地或與第一、第二實施例合併,形成高介電常數介電層242包括形成厚度在約20 Å至約500 Å的範圍中的高介電常數介電層242。
在第四實施例中,單獨地或與第一至第三實施例合併,形成高介電常數介電層242包括沉積具有本質負電荷極性的一或多種材料以形成高介電常數介電層242。
在第五實施例中,單獨地或與第一至第四實施例合併,所述一或多種材料包括氧化鉿、氧化鋁、氧化鉭、氧化鎵、氧化鈦或氧化鈮中的至少一者。
在第六實施例中,單獨地或與第一至第五實施例合併,所述一或多種材料在沉積過程中所產生的晶格缺陷導致本質負電荷極性。
在第七實施例中,單獨地或與第一至第六實施例合併,製成1000包括在元件區212上形成緩衝氧化層240,其中形成高介電常數介電層242包括在緩衝氧化層240上形成高介電常數介電層242。
在第八實施例中,單獨地或與第一至第七實施例合併,製程1000包括藉由進行混和接合操作,而將第一半導體晶粒202與第二半導體晶粒204以WoW配置方式而接合在一起。
儘管圖10示出製程1000的範例操作,在一些實施例中製程1000包括額外的操作、較少的操作、不同的操作、或與圖10所示的順序不同的操作。額外地或作為替代地,製程1000的兩個或更多操作可平行地進行。
綜上所述,半導體晶粒封裝(例如是WoW半導體晶粒封裝)包括在第一半導體晶粒的元件區(例如是矽基底)上的高介電常數介電層,且第一半導體晶粒以WoW配置方式接合於第二半導體晶粒。TSV結構(例如是BTSV結構)可經形成以穿過元件區。高介電常數介電層具有本質負電荷極性,而提供耦合電壓以調整元件區內的電位。特別來說,高介電常數介電層內的負電荷(例如是電子載流子)吸引元件區內的電洞載流子,其抑制在蝕刻容納TSV結構的凹陷時形成的表面缺陷所導致的陷阱輔助通道。因此,本文所描述的高介電常數介電層減少包括於第一半導體晶粒的元件區中的半導體元件內漏電流之發生機會及/或幅值。此可提升半導體元件的效能且/或使得半導體元件可更靠近地設置且能夠放置在更靠近TSV結構的位置,而縮短第一半導體晶粒中半導體元件的節距且提高半導體元件密度等。
如以上更詳細地描述,本文的一些實施例提供一種半導體晶粒封裝。半導體晶粒封裝包括:第一半導體晶粒;第二半導體晶粒,在第一側接合於所述第一半導體晶粒,且包括:元件區,包括一或多個半導體元件;以及內連線區,位於所述元件區與所述第一半導體晶粒之間。此外,半導體晶粒封裝更包括:介電層,位於所述第二半導體晶粒的相對於所述第一側的第二側上,其中所述介電層具有本質負電荷極性;以及導通孔結構,延伸穿過所述介電層與所述元件區,且伸入所述內連線區的一部分。
如以上更詳細地描述,本文的一些實施例提供一種半導體晶粒封裝的形成方法。所述方法包括:在半導體晶粒上形成高介電常數介電層,其中所述高介電常數介電層具有負電荷極性;形成穿過所述高介電常數介電層與所述半導體晶粒的元件區並伸入所述半導體晶粒的內連線區的一部分而暴露出所述內連線區中的金屬化層的一部分的凹陷;以及在所述凹陷中形成導通孔結構。
如以上更詳細地描述,本文的一些實施例提供一種半導體晶粒封裝。所述半導體晶粒封裝包括:第一半導體晶粒;第二半導體晶粒,以第一側接合於所述第一半導體晶粒,且包括:元件區,包括一或多個半導體元件;以及內連線區,位於所述元件區與所述第一半導體晶粒之間。此外,半導體晶粒封裝更包括:高介電常數介電層,在所述第二半導體晶粒的相對於所述第一側的第二側上,其中所述高介電常數介電層具有本質負電荷極性;以及矽穿孔結構,延伸穿過所述高介電常數介電層與所述元件區,且伸入所述內連線區的一部分,其中所述矽穿孔結構延伸穿過在所述元件區中鄰近於n型井的p型井,且其中所述高介電常數介電層的所述本質負電荷極性經配置以抑制由所述p型井至所述n型井的漏電。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本公開的各個方面。所屬領域中的技術人員應理解,他們可容易地使用本公開作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的和/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本公開的精神及範圍,而且他們可在不背離本公開的精神及範圍的條件下對其作出各種改變、替代及變更。
100:範例環境 102、104、106、108、110、112、114、116:設備 200:半導體晶粒封裝 202、204:半導體晶粒 206:接合介面 208、212:元件區 210、214:內連線區 216、218:半導體元件 220、226、234:介電層 222、228、236:金屬化層 224、230:接觸結構 232:重分布結構 238:背側矽穿孔(back side through silicon via,BTSV)結構 240:緩衝氧化層 242:高介電常數介電層 244:凸塊下金屬層 246:導電端子 248:區域 302:p型井 304:n型井 306:深n型井 308、310:源極/汲極區 312:淺溝槽隔離(shallow trench isolation,STI)區 314:側壁間隔件 400:範例實施例 402:介面態密度 404:固定電荷密度 500:範例實施例 502:空乏區邊緣 600:範例實施例 700:範例實施例 702:凹陷 800:範例實施例 802:凹陷 900:元件 910:匯流排 920:處理器 930:記憶體 940:輸入構件 950:輸出構件 960:通訊構件 1000:製程 1010、1020、1030:操作 D1:距離 D2:寬度
結合附圖閱讀以下詳細說明,會最好地理解本公開的各個方面。應注意,根據本行業中的標準慣例,圖中各種特徵並未按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1是繪示實施本文所描述的系統及/或方法所在的範例環境的示意圖。 圖2是本文所描述的範例半導體晶粒封裝的示意圖。 圖3A與圖3B是繪示本文所描述的半導體晶粒封裝的一區域的範例實施例的示意圖。 圖4是繪示本文所描述的各種高介電常數(high-k)介電材料的電荷極性的範例實施例的示意圖。 圖5是繪示本文所描述的空乏區邊緣的範例實施例的示意圖。 圖6A至圖6E是繪示本文所描述的形成半導體晶粒的範例實施例的示意圖。 圖7A至圖7D是繪示本文所描述的形成半導體晶粒封裝的一部分的範例實施例的示意圖。 圖8A至圖8D是繪示本文所描述的形成半導體晶粒封裝的一部分的範例實施例的示意圖。 圖9是繪示本文所描述的元件的範例構件的示意圖。 圖10是繪示關聯於形成半導體晶粒封裝的範例製程的流程圖。
200:半導體晶粒封裝
202、204:半導體晶粒
206:接合介面
208、212:元件區
210、214:內連線區
216、218:半導體元件
220、226、234:介電層
222、228、236:金屬化層
224、230:接觸結構
232:重分布結構
238:背側矽穿孔(back side through silicon via,BTSV)結構
240:緩衝氧化層
242:高介電常數介電層
244:凸塊下金屬層
246:導電端子
248:區域

Claims (20)

  1. 一種半導體晶粒封裝,包括: 第一半導體晶粒; 第二半導體晶粒,在第一側接合於所述第一半導體晶粒,且包括: 元件區,包括一或多個半導體元件;以及 內連線區,位於所述元件區與所述第一半導體晶粒之間; 介電層,位於所述第二半導體晶粒的相對於所述第一側的第二側上,其中所述介電層具有本質負電荷極性;以及 導通孔結構,延伸穿過所述介電層與所述元件區,且伸入所述內連線區的一部分。
  2. 如請求項1所述的半導體晶粒封裝,其中所述導通孔結構為延伸穿過所述元件區中的p型井但並未穿過所述元件區中的n型井的矽穿孔結構。
  3. 如請求項2所述的半導體晶粒封裝,其中所述矽穿孔結構的側壁與所述p型井的邊緣之間的距離在約0.2 μm至約2 μm的範圍中。
  4. 如請求項1所述的半導體晶粒封裝,其中所述介電層經配置以使所述元件區中的電洞載流子被所述介電層中的電子載流子吸引。
  5. 如請求項1所述的半導體晶粒封裝,其中所述介電層的厚度在約20 Å至約500 Å的範圍中。
  6. 如請求項1所述的半導體晶粒封裝,其中所述介電層包括氧化鉿、氧化鋁、氧化鉭、氧化鎵、氧化鈦或氧化鈮中的至少一者。
  7. 如請求項1所述的半導體晶粒封裝,更包括: 緩衝氧化層,位於所述第二半導體晶粒與所述介電層之間,其中所述導通孔結構延伸穿過所述緩衝氧化層。
  8. 一種半導體晶粒封裝的形成方法,包括: 在半導體晶粒上形成高介電常數介電層,其中所述高介電常數介電層具有負電荷極性; 形成穿過所述高介電常數介電層與所述半導體晶粒的元件區並伸入所述半導體晶粒的內連線區的一部分而暴露出所述內連線區中的金屬化層的一部分的凹陷;以及 在所述凹陷中形成導通孔結構。
  9. 如請求項8所述的半導體晶粒封裝的形成方法,其中形成所述導通孔結構包括: 形成鄰近於所述半導體晶粒的所述元件區中的一或多個半導體元件的背側矽穿孔結構。
  10. 如請求項9所述的半導體晶粒封裝的形成方法,其中形成所述背側矽穿孔結構包括: 形成穿過與所述一或多個半導體元件相關聯的p型井的所述背側矽穿孔結構,其中所述p型井鄰近於與所述一或多個半導體元件相關聯的n型井。
  11. 如請求項8所述的半導體晶粒封裝的形成方法,其中形成所述高介電常數介電層包括: 形成具有在約20 Å至約500 Å的範圍中的厚度的所述高介電常數介電層。
  12. 如請求項8所述的半導體晶粒封裝的形成方法,其中形成所述高介電常數介電層包括: 沉積具有本質負電荷極性的一或多種材料,以形成所數高介電常數介電層。
  13. 如請求項12所述的半導體晶粒封裝的形成方法,其中所述一或多種材料包括氧化鉿、氧化鋁、氧化鉭、氧化鎵、氧化鈦或氧化鈮中的至少一者。
  14. 如請求項12所述的半導體晶粒封裝的形成方法,其中在沉積所述一或多種材料期間形成的晶格缺陷導致所述本質負電荷極性。
  15. 如請求項8所述的半導體晶粒封裝的形成方法,更包括: 在所述元件區上形成緩衝氧化層,其中形成所述高介電常數介電層包括:在所述緩衝氧化層上形成所述高介電常數介電層。
  16. 如請求項8所述的半導體晶粒封裝的形成方法,更包括: 進行混和接合操作以將所述半導體晶粒與另一半導體晶粒以晶圓疊晶圓型配置方式接合在一起。
  17. 一種半導體晶粒封裝,包括: 第一半導體晶粒; 第二半導體晶粒,以第一側接合於所述第一半導體晶粒,且包括: 元件區,包括一或多個半導體元件;以及 內連線區,位於所述元件區與所述第一半導體晶粒之間; 高介電常數介電層,在所述第二半導體晶粒的相對於所述第一側的第二側上,其中所述高介電常數介電層具有本質負電荷極性;以及 矽穿孔結構,延伸穿過所述高介電常數介電層與所述元件區,且伸入所述內連線區的一部分,其中所述矽穿孔結構延伸穿過在所述元件區中鄰近於n型井的p型井,且其中所述高介電常數介電層的所述本質負電荷極性經配置以抑制由所述p型井至所述n型井的漏電。
  18. 如請求項17所述的半導體晶粒封裝,其中所述第二半導體晶粒的厚度在約0.5 μm至約5 μm的範圍中。
  19. 如請求項17所述的半導體晶粒封裝,其中所述高介電常數介電層的等效表面電荷密度在約-8∙10 -9C/cm 2至約-1.6∙10 -7C/cm 2的範圍中。
  20. 如請求項17所述的半導體晶粒封裝,其中所述高介電常數介電層包括氧化鉿、氧化鋁、氧化鉭、氧化鎵、氧化鈦或氧化鈮中的至少一者。
TW112108062A 2022-09-28 2023-03-06 半導體晶粒封裝及其形成方法 TW202414707A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US63/377,418 2022-09-28
US18/151,084 2023-01-06

Publications (1)

Publication Number Publication Date
TW202414707A true TW202414707A (zh) 2024-04-01

Family

ID=

Similar Documents

Publication Publication Date Title
TWI767123B (zh) 整合背側電源網格的半導體裝置及其相關的積體電路與製造方法
US11069736B2 (en) Via support structure under pad areas for BSI bondability improvement
TWI764411B (zh) 封裝半導體元件及其形成方法
US9397228B2 (en) Semiconductor device structure and method for forming the same
US11232825B2 (en) Capacitor structure and method of forming the same
US9989856B2 (en) Method of manufacturing semiconductor devices
TW201735180A (zh) 藉由背面揭露摻雜半導體結構之子鰭區域的方法與相關裝置
TW202145484A (zh) 半導體裝置
TWI680533B (zh) 藉由裝置隔離結構之後單一層轉移製造所形成的裝置及方法
TWI788725B (zh) 具有屏蔽結構的半導體元件
US20230386957A1 (en) Stacked semiconductor device including a cooling structure
US20220416027A1 (en) Nanoribbon sub-fin isolation by backside si substrate removal etch selective to source and drain epitaxy
US20220328363A1 (en) Dual-Side Power Rail Design and Method of Making Same
TW202414707A (zh) 半導體晶粒封裝及其形成方法
US20240105644A1 (en) Semiconductor die package and methods of formation
US20240153895A1 (en) Semiconductor die packages and methods of formation
CN117410278A (zh) 半导体晶粒封装及其形成方法
US20240113159A1 (en) Semiconductor die package and methods of formation
US20240030222A1 (en) Trapping layer for a radio frequency die and methods of formation
US20240145435A1 (en) Semiconductor device including multi-dimension through silicon via structures for backside alignment and thermal dissipation
US20240030134A1 (en) Semiconductor device and methods of formation
TW202414791A (zh) 半導體晶粒封裝及形成方法
TW202418494A (zh) 半導體晶粒封裝
CN220774364U (zh) 封装结构及高带宽存储器hbm装置
US11482474B2 (en) Forming a self-aligned TSV with narrow opening in horizontal isolation layer interfacing substrate