TW202410326A - 半導體裝置組合件、積體扇出型封裝及對半導體進行封裝的方法 - Google Patents

半導體裝置組合件、積體扇出型封裝及對半導體進行封裝的方法 Download PDF

Info

Publication number
TW202410326A
TW202410326A TW112105668A TW112105668A TW202410326A TW 202410326 A TW202410326 A TW 202410326A TW 112105668 A TW112105668 A TW 112105668A TW 112105668 A TW112105668 A TW 112105668A TW 202410326 A TW202410326 A TW 202410326A
Authority
TW
Taiwan
Prior art keywords
die
section
conductive vias
size
semiconductor
Prior art date
Application number
TW112105668A
Other languages
English (en)
Inventor
黃立賢
鄭學隆
莊曜群
盧胤龍
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202410326A publication Critical patent/TW202410326A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05073Single internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05555Shape in top view being circular or elliptic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05563Only on parts of the surface of the internal layer
    • H01L2224/05564Only on the bonding interface of the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05664Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06134Square or rectangular array covering only portions of the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16245Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • H01L2224/16258Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/2101Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/211Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/95001Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)

Abstract

一種對半導體進行封裝的方法包括:將第一半導體晶粒與第二半導體晶粒彼此靠近地定位於載體基底上,其中相對於第一晶粒界定第一區段及第二區段,並且相對於第二晶粒界定第三區段及第四區段;在第一區段中形成多個第一通孔,第一通孔具有第一大小;在第二區段中形成多個第二通孔,第二通孔具有不同於第一大小的第二大小;在第三區段中形成多個第三通孔,第三通孔具有第三大小;在第四區段中形成多個第四通孔,第四通孔具有不同於第三大小的第四大小;以及將第一晶粒及第二晶粒與內連晶粒電性連接,使得在其間可交換電性訊號。

Description

積體扇出型平台及半導體裝置的製造方法
以下說明是有關於半導體領域,且具體而言,是有關於一種用於半導體裝置的積體扇出型(integrated fan-out,InFO)平台及/或相關的製造方法及/或製程。
以下揭露內容提供用於實施所提供標的物的不同特徵的諸多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「左」、「右」、「側部」、「背部」、「後部」、「位於……之後」、「位於……之前」、「位於……之下」、「位於……下方」、「下部的」、「位於……上方」、「上部的」及類似用語等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
概括而言,在本文中揭露了一種用於半導體裝置或封裝的積體扇出型(InFO)平台,所述積體扇出型(InFO)平台為晶圓級系統整合技術,所述技術的特徵例如在於用於高密度內連的高密度重佈線層(re-distribution layer,RDL)及穿孔以及各種應用的效能,例如包括但不限於行動裝置、高效能計算等。積體電路(integrated circuit,IC)晶圓或晶片或晶粒的層疊式封裝(package-on-package,PoP)組合件在提供具有高內連密度及改善的電性效能及熱效能的緊湊且體積較小的電子組合件方面具有許多優點。在本文中揭露的實施例提供了具有改善的穩健性(robustness)並且減少或消除了一些故障模式的InFO平台封裝及對應的製造方法。
在一些合適的實施例中,一對半導體晶粒或封裝(例如各自包括系統晶片(system on chip,SoC))藉由與每一半導體晶粒或封裝上的多個互通區段(inter-communication zone)交疊的局部矽內連(local silicon interconnection,LSI)晶粒而被電性連接。在合適的實施例中,在所述多個區段中形成及/或設計用於由LSI晶粒連接的多個半導體晶粒或封裝之間的電性連接的通孔,使得所述多個區段中的通孔的大小(例如,直徑或橫截面積)隨區段而變化。有利地,該些通孔中此種變化的大小有助於減少或消除一些故障模式,否則在製造製程期間可能會潛在地出現該些故障模式。在一些合適的實施例中,不同大小的通孔可有助於減輕鋁或其他類似的電性接墊由於應力而破裂,否則可能例如由於材料之間的熱膨脹係數(co-efficient of thermal expansion,CTE)不匹配而在其中產生或積累所述破裂,同時若使用相對較大的通孔來減輕前述破裂問題,則還會防止在熱循環期間可能潛在地導致的分層。
圖1至圖17根據在本文中描述的一些合適的實施例示出包括半導體裝置組合件的積體扇出型(InFO)平台封裝的各種視圖。更具體而言,各個圖式示出在用於形成或以其他方式構造或生產InFO平台封裝的合適製程的各個階段的InFO平台封裝,例如,其中此製程可包括多個中間步驟。在一些合適的實施例中,半導體裝置組合件可包括多個半導體晶粒或封裝100。在一些合適的實施例中,例如如圖2所示,半導體裝置組合件可包括至少二個半導體晶粒或封裝100。合適地,例如如圖7及圖17所示,二個半導體晶粒或封裝100可藉由設置於所述二個半導體晶粒或封裝100上及/或之上的內連晶粒200(在本文中亦稱為矽內連晶粒200或局部矽內連(LSI)晶粒200)彼此電性連接。在一些合適的實施例中,LSI晶粒200電性連接所述至少二個半導體晶粒或封裝100,使得在彼此電性連接的所述至少二個半導體晶粒或封裝100之間可選擇性地交換電性訊號。
在本文中,為便於參考及出於說明性目的,圖式及在其中繪示的各種元件及/或組件是相對於另外任意選擇的三維(three-dimensional,3D)笛卡爾座標系示出的,所述座標系包括如圖所示的X、Y及Z軸。儘管在各個圖式之間及/或跨各個圖式保持了一致性(除非另外明確指出),但應理解,選擇該些軸所指示的方向及/或定向主要是為了利於在本文中提供的描述,例如闡述及/或辨識相對定向及/或方向。除非另有說明,否則所示的座標系及/或軸本身並非旨在為限制性的,且亦不應被理解或解釋為限制性的。
現在參照圖1,示出及/或提供了臨時載體基底C,例如,其中在載體基底C的一側上形成有剝離層DB。在一些合適的實施例中,載體基底C可為玻璃載體基底、陶瓷載體基底或在半導體製造中使用的其他類似載體材料。合適地,載體基底C可為例如晶圓,使得多個半導體晶粒或封裝(舉例而言,例如所示的半導體晶粒或封裝100)可設置於載體基底C上及/或形成於載體基底C之上。在一些合適的實施例中,半導體晶粒或封裝100可包括例如系統晶片(system on chip,SoC)或其他積體電路(IC)或類似結構。舉例而言,如圖2所示,多個半導體晶粒或封裝100設置於載體基底C上及/或形成於載體基底C之上。更具體而言,在所示的非限制性實例中,圖2示出設置於載體基底C上及/或形成於載體基底C之上的二個半導體晶粒或封裝100。
在一些合適的實施例中,剝離層DB可由聚合物系材料形成,所述聚合物系材料可最終(例如,在後續步驟中)與載體基底C一起自設置及/或形成於其上的上覆結構移除。在一些合適的實施例中,剝離層DB是在被加熱時失去其黏著性質的環氧樹脂系熱釋放材料,舉例而言,例如光熱轉換(light-to-heat-conversion,LTHC)釋放塗層。在其他合適的實施例中,剝離層DB可為當暴露於紫外(ultra-violet,UV)光時失去其黏著性質的UV膠。剝離層DB可作為液體被分配至載體基底C上並固化,可為層疊至載體基底C上的層疊膜,或者可為類似物。實際上,剝離層DB的頂表面(即,遠離載體基底C的表面)可為平整的,並且可具有高的平面度。
在一些合適的實施例中,例如如圖2所示,例如使用由拾取及放置(pick and place,PnP)工具、自動材料處理系統(automated material handling system,AMHS)、設備前端模組(equipment front end module,EFEM)、機械臂或類似結構施行的合適的PnP製程將所述多個半導體晶粒或封裝100並排放置於載體基底C的剝離層DB上及/或之上。
在一些合適的實施例中,所述半導體晶粒或封裝100中的一或多者可為邏輯晶粒(舉例而言,例如中央處理單元、微控制器等)、記憶體晶粒(舉例而言,例如動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒等)、電源管理晶粒(舉例而言,例如電源管理積體電路(power management integrated circuit,PMIC)晶粒)、射頻(radio frequency,RF)晶粒、微機電系統(micro-electro-mechanical-system,MEMS)晶粒、訊號處理晶粒(舉例而言,例如數位訊號處理(digital signal processing,DSP)晶粒)、前端晶粒(舉例而言,例如類比前端(analog front-end,AFE)晶粒)、SoC晶粒或其他積體電路(IC)晶粒或其組合。在一些合適的實施例中,半導體晶粒或封裝100中的一或多者可包括光電積體電路或光學積體電路或光子IC(photonic IC,PIC)晶粒,舉例而言,其可包括一或多個光電偵測器(舉例而言,例如光電二極體陣列)、一或多個光學訊號源(舉例而言,例如雷射或發光二極體)或其組合。
在例如其中光學發射器可製作於InFO平台封裝中的一些實施例中,可將一或多個PIC晶粒(例如,光學訊號源)及/或一或多個IC晶粒(例如,SoC發射器(transmitter,Tx)晶粒、記憶體晶粒及驅動器)放置於剝離層DB之上。舉例而言,所述光學訊號源可包括雷射二極體,例如垂直腔面發射雷射(vertical-cavity surface-emitting laser,VCSEL)二極體或類似二極體;並且所述記憶體晶粒可包括DRAM晶粒、SRAM晶粒或類似晶粒。在例如其中光學接收器可製作於InFO平台封裝中的一些實施例中,可將一或多個PIC晶粒(例如,光電偵測器)及/或一或多個IC晶粒(例如,SoC接收器(receiver,Rx)晶粒、記憶體晶粒及放大器)放置於剝離層DB之上。舉例而言,所述光電偵測器可包括光電二極體;所述記憶體晶粒可包括DRAM晶粒、SRAM晶粒或類似晶粒;並且所述放大器可包括跨阻抗放大器(transimpedance amplifier,TIA)或類似放大器。在例如其中光學收發器可製作於InFO平台封裝中的一些實施例中,可將一或多個PIC晶粒(例如,光電偵測器及光學訊號源)及/或一或多個IC晶粒(例如,SoC(Rx)晶粒、SoC(Tx)晶粒、記憶體晶粒、驅動器及放大器)放置於剝離層DB之上。舉例而言,所述光電偵測器可包括光電二極體;所述光學訊號源可包括雷射二極體,例如VCSEL二極體或類似二極體;所述記憶體晶粒可包括DRAM晶粒、SRAM晶粒或類似晶粒;並且所述放大器可包括跨阻抗放大器(TIA)或類似放大器。
在一些合適的實施例中,舉例而言,半導體晶粒或封裝100可各自包括半導體基底,例如,其中例如電晶體、二極體、電容器、電阻器等裝置形成於半導體基底中及/或半導體基底上。實際上,前述裝置可藉由內連結構內連以形成IC或SoC,所述內連結構由例如半導體基底上的一或多個介電層中的金屬化圖案形成。半導體晶粒或封裝100可更包括進行外部電性連接的表面金屬化區域,所述表面金屬化區域在本文中被稱為接墊,例如鋁接墊。合適地,接墊位於可被稱為半導體晶粒或封裝100的相應主動側102的結構上或靠近所述結構,並且可位於內連結構的最上層中或靠近內連結構的最上層。
如圖所示,例如在圖1中,每一半導體晶粒或封裝100可在其中形成或者以其他方式包括遠離半導體晶粒或封裝100的主動側102延伸直至例如表面金屬化區域或接墊122的多個導電通孔120。在一些合適的實施例中,導通孔120可由導電材料(例如,焊料、銅(Cu)、鋁(Al)、金(Au)、鎳(Ni)、銀(Ag)、鈀(Pd)、錫(Sn))或另一種金屬或其他合適的導電材料或類似材料或其組合形成。在一些合適的實施例中,導通孔120是例如在Z軸方向上遠離半導體晶粒或封裝100的主動側102延伸的銅柱。在一些合適的實施例中,通孔120可位於在半導體晶粒或封裝100的主動側102上界定的不同區段或區或區域中。實際上,每一半導體晶粒或封裝100可包括多個此種區段。舉例而言,如圖2所示,每一半導體晶粒或封裝100可包括三個不同的區段Z1、Z2及Z3。
在一些合適的實施例中,例如如圖2所示,第一半導體晶粒或封裝100位於第二半導體晶粒或封裝100的旁邊或與其鄰近,其中各半導體晶粒或封裝100的近端端部104彼此面對並間隔開。如圖所示,例如在X軸方向上量測時,第一半導體晶粒或封裝100的端部104與第二半導體晶粒或封裝100的端部104間隔開距離D。在一些合適的實施例中,距離D可在約60微米(μm)與約200微米(包括60微米及200微米)之間的範圍內。
在一些合適的實施例中,每一半導體晶粒或封裝100的三個不同的區段Z1、Z2及Z3自對應的半導體晶粒或封裝100的各自面對的端部104連續地或相繼地被界定及/或佈置成彼此鄰近。亦即,如圖所示,每一半導體晶粒或封裝100的第一區段Z1被界定及/或佈置成鄰近或以其他方式接近其相關聯的半導體晶粒或封裝100的端部104;每一半導體晶粒或封裝100的第二區段Z2被界定及/或佈置成鄰近或以其他方式接近半導體晶粒或封裝100的第一區段Z1;並且每一半導體晶粒或封裝100的第三區段Z3被界定及/或佈置成鄰近或以其他方式接近第二區段Z2,即,使得第二區段Z2位於第一區段Z1與第三區段Z3之間。
舉例而言,如圖17所示,半導體晶粒或封裝100中的每一者上的區段Z1、Z2及Z3中的每一者可含有或囊括或包括形成於半導體晶粒或封裝100中的多個通孔120。應注意,在一些合適的實施例中,通孔120的大小可隨區段而變化。亦即,區段Z1、Z2及/或Z3中的至少一者中的通孔120的大小不同於區段Z1、Z2及/或Z3中的至少另一者中的通孔120的大小。在此上下文中,舉例而言,在垂直於對應半導體晶粒或封裝100的端部104的方向上量測(即,在X軸方向上量測)時,例如,對於圓柱形、圓形或環形或實質上圓柱形、圓形或環形的通孔120而言,大小可指通孔120的直徑,或者作為另一選擇,例如,對於其他形狀的通孔120而言,大小可指通孔120的寬度、橫截面積或其他尺寸。在一些合適的實施例中,第一區段Z1中的導通孔120的大小可小於第二區段Z2中的導通孔120的大小;並且第二區段Z2中的導通孔120的大小可小於第三區段Z3中的導通孔120的大小。在一些合適的實施例中,第三區段Z3中的導通孔120的大小可小於例如在區段Z1、Z2及Z3之外的其餘導通孔120的大小。在一些合適的實施例中,例如如圖17所示,通孔120是圓柱形或實質上圓柱形的,並且在每一半導體晶粒或封裝100的第一區段Z1中,每一通孔120具有直徑D1,且在每一半導體晶粒或封裝100的第二區段Z2中,每一通孔120具有直徑D2,並且在每一半導體晶粒或封裝100的第三區段Z3中,每一通孔120具有直徑D3,其中D1 < D2 < D3。進一步如圖17所示,舉例而言,在X軸方向上量測時,每一半導體晶粒或封裝100的區段Z1可具有寬度K1,每一半導體晶粒或封裝100的區段Z2可具有寬度K2,且每一半導體晶粒或封裝100的區段Z3可具有寬度K3。
如在本文中先前所述,二個半導體晶粒或封裝100可藉由設置於所述二個半導體晶粒或封裝100上及/或之上的局部矽內連(LSI)晶粒200而彼此電性連接,使得在彼此電性連接的所述二個半導體晶粒或封裝100之間可選擇性地交換電性訊號。合適地,LSI晶粒200設置於第一半導體晶粒或封裝100的主動側102及第二半導體晶粒或封裝100的主動側102上,例如如圖7及圖17所示,使得LSI晶粒200與第一半導體晶粒或封裝100的主動側102上的區段Z1、Z2及Z3以及第二半導體晶粒或封裝100的主動側102上的區段Z1、Z2及Z3交疊。圖17所示的陰影框或輪廓R示意性地表示前述交疊。實際上,LSI晶粒200可在第一半導體晶粒或封裝100的區段Z1、Z2及/或Z3中的一或多個導通孔120與第二半導體晶粒或封裝100的區段Z1、Z2及/或Z3中的一或多個導通孔120之間提供電性連接,使得電性訊號或類似訊號可藉由其自一個半導體晶粒或封裝100交換及/或路由至另一半導體晶粒或封裝100。在一些合適的實施例中,第一晶粒(舉例而言,例如半導體晶粒或封裝100)具有第一側、位於第一側上的第一區域(舉例而言,例如區段Z1)及位於第一側上的第二區域(舉例而言,例如區段Z2);第二晶粒(舉例而言,亦例如半導體晶粒或封裝100)具有第二側、位於第二側上的第三區域(舉例而言,例如區段Z1)及位於第二側上的第四區域(舉例而言,例如區段Z2);多個第一導電通孔(舉例而言,例如通孔120)在第一區域中電性接觸第一晶粒的第一側並遠離第一晶粒的第一側延伸,多個第一導電通孔中的每一者具有第一大小;多個第二導電通孔(舉例而言,例如通孔120)在第二區域中電性接觸第一晶粒的第一側並遠離第一晶粒的第一側延伸,多個第二導電通孔中的每一者具有第二大小,第二大小大於第一大小;多個第三導電通孔(舉例而言,例如通孔120)在第三區域中電性接觸第二晶粒的第二側並遠離第二晶粒的第二側延伸,多個第三導電通孔中的每一者具有第三大小;多個第四導電通孔(舉例而言,例如通孔120)在第四區域中電性接觸第二晶粒的第二側並遠離第二晶粒的第二側延伸,多個第四導電通孔中的每一者具有第四大小,第四大小大於第三大小;且矽內連晶粒(舉例而言,例如LSI晶粒200)分別設置於第一晶粒的第一側及第二晶粒的第二側上,其中矽內連晶粒與第一晶粒的第一側上的第一區域及第二區域以及第二晶粒的第二側上的第三區域及第四區域交疊,並且矽內連晶粒被電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔及所述多個第四導電通孔中的至少一者或多者在第一晶粒與第二晶粒之間可選擇性地交換電性訊號。
現在參照圖3,在將所述多個半導體晶粒及/或封裝100放置及/或安裝至臨時載體基底C上之後,在半導體晶粒及/或封裝100周圍設置例如形成介電間層(dielectric interlayer)的模製件130。在一些合適的實施例中,介電間層及/或模製件130包含圍繞半導體晶粒及/或封裝100模製及/或以其他方式形成的模製材料。作為非限制性的說明性實例,所述模製材料可為介電質及/或可包括樹脂或其他合適的聚合物及二氧化矽或其他合適的填充劑。在一種合適的製造方法中,使用拾取及放置(PnP)或其他類似的附裝或安裝製程將半導體晶粒及/或封裝100放置於設置有剝離層DB的臨時載體基底C上。合適地,在PnP放置之後,在半導體晶粒或封裝100周圍形成模製件130,並且模製件130及/或所安裝的半導體晶粒或封裝100的上部表面(即,與臨時載體基底C相對的表面)被研磨及/或以其他方式平坦化,例如以使其彼此齊平或實質上齊平。
現在參照圖4,在一些合適的實施例中,聚醯胺或其他合適的材料的層132可形成或以其他方式設置於圖3所示組合件的上部表面(即,與載體基底C相對的表面)之上。舉例而言(但不限於此),層132可由聚醯胺或聚醯亞胺材料或其他合適的熱塑性彈性體或其他合適的材料或其組合形成。實際上,層132(例如如圖4所示)可包括或具有形成於其中的多個開口或類似結構,所述多個開口被共同定位或對齊及/或被調整大小以對應於下伏半導體晶粒或封裝100的多個導通孔120。合適地,層132及/或其中的開口可藉由任何合適的技術形成,所述技術例如包括但不限於材料沈積步驟(例如化學氣相沈積(chemical vapor deposition,CVD)或旋轉塗佈或其他類似的材料沈積製程)以及隨後進行的用以形成開口的合適的光微影技術或另一種合適的選擇性材料移除製程。因此,導通孔120的頂部在層132中所包括及/或形成的開口下方保持被暴露出及/或可藉由所述開口被觸及。
在一些合適的實施例中,層132中的多個開口中的一些開口可在區段Z1、Z2及Z3中及/或上覆於區段Z1、Z2及Z3上。舉例而言,如圖4及圖17所示,區段Z1、Z2及Z3中的每一者可包括形成於層132中的多個開口。應注意,在一些合適的實施例中,層132中的多個開口的大小可隨區段而變化。亦即,區段Z1、Z2及/或Z3中的至少一者中的層132中的多個開口的大小不同於區段Z1、Z2及/或Z3中的至少另一者中的層132中的多個開口的大小。在一些合適的實施例中,第一區段Z1中的層132中的多個開口的大小可小於第二區段Z2中的層132中的多個開口的大小;並且第二區段Z2中的層132中的多個開口的大小可小於第三區段Z3中的層132中的多個開口的大小。在一些合適的實施例中,第三區段Z3中的層132中的多個開口的大小可小於例如區段Z1、Z2及Z3之外的層132中的其餘開口的大小。
在一些合適的實施例中,微凸塊材料層(micro-bump material layer,UBML)可設置及/或以其他方式形成於層132上及/或之上,例如如圖5所示。具體而言,UBML可包括多個導電凸塊140。舉例而言,如圖5所示,凸塊140與層132中的開口被共同定位或對齊。作為非限制性實例,凸塊140可由導電材料製成,例如焊料、共晶(eutectic)、無鉛或高鉛材料、或Cu、Al、Au、Ni、Ag、Pd、Sn、合適的金屬或合適的導電材料或類似材料、或其組合。在一些合適的實施例中,凸塊140填充及/或以其他方式延伸穿過層132中的開口,以與半導體晶粒或封裝100中的導電通孔120的頂部電性接觸。實際上,UBML及/或凸塊140可利用在半導體及/或半導體裝置的製造及/或封裝中通常採用的任何一或多種合適的製程及/或技術來產生及/或形成。
在一些合適的實施例中,一或多個導電模製通孔(through molding via,TMV)150可設置及/或以其他方式形成於多個UBML凸塊140中被選擇的多個UBML凸塊140上及/或之上,例如如圖6所示。此種TMV 150被稱為「模製」通孔,乃因其最終將延伸穿過模製材料,例如如圖8所示。在一些合適的實施例中,TMV 150在區段Z1、Z2及Z3之外及/或不上覆於區段Z1、Z2及Z3上,並且可被形成為例如在Z軸方向上自所選擇的UBML凸塊140垂直地延伸的Cu柱或類似結構。在其他實施例中,TMV 150可由其他合適的金屬或導電材料製成。
現在參照圖7,LSI晶粒200可放置於圖6所示的組合件上及/或安裝至圖6所示的組合件。在一些合適的實施例中,LSI晶粒200可包括例如在層間介電質(interlayer dielectric,ILD)之間及/或穿過ILD的一或多個被圖案化或以其他方式形成的導電內連層或內連線,所述ILD例如但不限於由矽材料及/或合適的低介電常數(low-k)材料製成。合適地,ILD可形成為一個或多個層中。舉例而言(但不限於此),所述內連線可由例如Cu、Al、Au、Ni、Ag、Pd、Sn、合適的金屬或合適的導電材料或類似材料或其組合等導電材料製成。
在一些合適的實施例中,LSI晶粒200亦可在其第一側上或第一側附近包括一或多個接墊或電性接觸件202。舉例而言(但不限於此),接墊或電性接觸件202可由例如Cu、Al、Au、Ni、Ag、Pd、Sn、合適的金屬或合適的導電材料或類似材料或其組合等導電材料製成。該些接墊或電性接觸件202中被選擇的多個接墊或電性接觸件可例如藉由LSI晶粒200的前述內連線而電性連接至LSI晶粒200中所包括的一或多個被選擇的矽穿孔(through silicon via,TSV)204。此種TSV 204被稱為「矽穿」孔,乃因其最終將延伸穿過矽材料及/或延伸穿過LSI晶粒200,例如如圖8所示。在一些合適的實施例中,TSV 204是例如在Z軸方向上垂直地延伸的Cu柱或類似結構。舉例而言(但不限於此),TSV 204可由例如Cu、Al、Au、Ni、Ag、Pd、Sn、合適的金屬或合適的導電材料或類似材料或其組合等導電材料製成。
舉例而言,如圖7所示,可利用PnP製程或其他合適的放置及/或安裝製程來將LSI晶粒200放置及/或安裝或以其他方式設置在圖6所示的組合件上,使得LSI晶粒200的一或多個被選擇的接墊或電性接觸件202可與一或多個所選擇的UBML凸塊140對齊並被焊接或以其他方式電性連接至一或多個所選擇的UBML凸塊140,所述一或多個所選擇的UBML凸塊140與半導體晶粒或封裝100的區段Z1、Z2及Z3中的多個通孔120電性接觸。在一些合適的實施例中,例如可採用底部填充物210來提供LSI晶粒200與下伏結構之間的結構支撐。實際上,可使用在半導體或半導體裝置的製造及/或封裝中通常採用的任何合適的底部填充材料及/或沈積或施加製程來生成底部填充物210。
現在參照圖8,在放置及/或安裝LSI晶粒200及/或將LSI晶粒200電性連接至下伏半導體晶粒或封裝100之後,在LSI晶粒200及/或TMV 150周圍設置例如形成介電間層的第二模製件220。在一些合適的實施例中,介電間層及/或模製件220包含被模製及/或以其他方式形成於LSI晶粒200及/或TMV 150周圍的模製材料。作為非限制性的說明性實例,所述模製材料可為介電質及/或可包括樹脂或其他合適的聚合物及二氧化矽或其他合適的填充劑。在一種合適的製造方法中,利用合適的拾取及放置(PnP)製程及/或其他類似的附裝或安裝製程來放置LSI晶粒200。合適地,在PnP放置之後,於LSI晶粒200及/或TMV 150周圍形成模製件220,並且模製件230的上表面、所放置及/或安裝的LSI晶粒200的上表面及/或TMV 150的上表面(即,與臨時載體基底C相對的表面)被研磨及/或以其他方式平坦化,例如以使其彼此齊平或實質上齊平。在一些合適的實施例中,前述的研磨及/或平坦化可暴露出或露出LSI晶粒200的TSV 204,使得例如在製造製程的後續步驟中可容易地與其進行電性接觸。
如圖9所示,根據一些合適的實施例,可在圖8所示的組合件上及/或之上形成或以其他方式設置重佈線層(RDL)300。在一些合適的實施例中,RDL 300發揮的作用及/或功能是對電性連接點進行重佈線或在側向上或以其他方式將電性連接點重新定位至多個TSV 204中被選擇的一或多者及/或多個TMV 150中被選擇的一或多者。舉例而言(但不限於此),RDL 300可包括由聚合物或其他介電材料的多個夾置層分隔開的多個經圖案化的金屬(或其他導電)層。在一些實施例中,用於形成RDL 300的一個非限制性說明性處理序列可包括處理步驟的迭代循環(iterative loop),其中循環的每次重複可包括以下步驟:(i)沈積連續的聚合物(或其他介電)層;(ii)藉由光微影在聚合物層中形成多個開口;(iii)Ti/Cu或任何適當的晶種層沈積;(iv)光阻塗佈及微影;以及(v)藉由銅(Cu)鍍覆及光阻剝除以及晶種層移除來形成RDL 300的導電層。
如圖10所示,根據一些合適的實施例,可在RDL 300上及/或之上形成或以其他方式設置受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊310的陣列或矩陣。實際上,每一C4凸塊310可藉由RDL 300來提供對多個TSV 204中被選擇的一或多者及/或多個TMV 150中被選擇的一或多者的電性存取及/或連接或提供與多個TSV 204中被選擇的一或多者及/或多個TMV 150中被選擇的一或多者的電性連通。
為簡潔及/或清晰起見,在本文中的各個圖式僅繪示了單個半導體組合件,所述單個半導體組合件包括由LSI晶粒200連接的二個半導體晶粒或封裝100,且形成及/或以其他方式設置於臨時載體基底C上。然而,實際上應理解,可在載體基底C上同時形成及/或設置一組或多個此種半導體組合件,並且所述一組或多個半導體組合件可隨後彼此分離成各別半導體組合件,即每一各別半導體組合件包括由LSI晶粒200連接的二個半導體晶粒或封裝100。
舉例而言,現在參照圖11,根據一些合適的實施例,示出多個半導體組合件(各自包括由LSI晶粒200連接的二個半導體晶粒或封裝100),其中剝離層DB連同載體基底C一起已經被移除。實際上,剝離層DB及載體基底C可藉由適當激活剝離層DB以釋放結構而自其上的結構移除。如圖11所示,仍然連接的半導體組合件(儘管現在脫離了剝離層DB及載體基底C)被支撐在例如可合適地為撓性的黏著框架膠帶400上。在一些合適的實施例中,當半導體組合件被以此方式支撐時,可應用合適的切割或鋸切或其他類似製程來將連接的半導體組合件分離成在圖12中已用參考編號500標記的各別半導體組合件或封裝。
在一些合適的實施例中,已經被切割、鋸切或以其他方式分離成各別半導體組合件或封裝500的各別半導體組合件或封裝500可自框架膠帶400轉移至基底510。舉例而言,如圖13所示,半導體組合件或封裝500可放置(例如,藉由合適的PnP製程或類似製程)及/或安裝在基底510上,其中C4凸塊310面向及/或鄰近基底510的第一側512。合適地,基底510可包括一或多個導電內連線或類似結構,所述一或多個導電內連線或類似結構可提供佈置在基底510的第一側512上的一或多個所選擇的接墊或電性接觸件或類似結構與佈置在基底510的第二側514上的一或多個所選擇的接墊或電性接觸件或類似結構之間的電性連接。實際上,半導體組合件或封裝500可被放置及/或安裝在基底510的第一側512上,使得C4凸塊310與佈置在基底510的第一側512上的多個接墊或電性接觸件或類似結構中被選擇的一或多者對準及/或對齊及/或電性接觸。在一些合適的實施例中,底部填充物520可用於例如在基底510與半導體組合件或封裝500之間提供結構支撐。實際上,可利用在半導體或半導體裝置的製造及/或封裝中通常採用的任何合適的底部填充材料及/或沈積或施加製程來生成底部填充物520。
如圖14所示,一或多個其他半導體裝置600亦可放置於基底510的第一側512上、安裝及/或電性連接至基底510的第一側512。舉例而言(但不限於此),其他半導體裝置600可為記憶體晶粒,例如DRAM或類似結構。
此後,例如如圖15所示,在一些合適的實施例中,垂直壁或環700(例如沿Z軸方向延伸)可放置、形成或以其他方式設置於基底510的第一側512上及/或安裝至基底510的第一側512,例如以將半導體組合件或封裝500與其他半導體裝置600隔離。合適地,可使用黏著劑702將壁或環700固定至基底510。此外,如圖15所示,半導體組合件或封裝500及其他半導體裝置600可更被蓋710封閉,所述蓋710可被放置於例如藉由黏著劑712固定至其的壁或環700的頂端上及/或安裝至所述壁或環700的頂端。最後,如圖16所示,可在基底510的第二側514上形成或以其他方式設置球柵陣列(ball grid array,BGA)800,藉此例如藉由在基底510中形成的內連線而在基底的第二側514上提供至半導體組合件或封裝500及/或佈置於基底510的第二側514上的其他半導體裝置600的電性連接點。
在下文中,描述了一些進一步的說明性實施例。
在一些實施例中,一種半導體裝置組合件包括:第一晶粒,具有第一側、位於第一側上的第一區域及位於第一側上的第二區域;第二晶粒,具有第二側、位於第二側上的第三區域及位於第二側上的第四區域;多個第一導電通孔,在第一區域中電性接觸第一晶粒的第一側並遠離第一晶粒的第一側延伸,多個第一導電通孔中的每一者具有第一大小;多個第二導電通孔,在第二區域中電性接觸第一晶粒的第一側並遠離第一晶粒的第一側延伸,多個第二導電通孔中的每一者具有第二大小,第二大小大於第一大小;多個第三導電通孔,在第三區域中電性接觸第二晶粒的第二側並遠離第二晶粒的第二側延伸,多個第三導電通孔中的每一者具有第三大小;多個第四導電通孔,在第四區域中電性接觸第二晶粒的第二側並遠離第二晶粒的第二側延伸,多個第四導電通孔中的每一者具有第四大小,第四大小大於第三大小;以及矽內連晶粒,分別設置於第一晶粒的第一側及第二晶粒的第二側上,其中矽內連晶粒與第一晶粒的第一側上的第一區域及第二區域以及第二晶粒的第二側上的第三區域及第四區域交疊,並且矽內連晶粒被電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔及所述多個第四導電通孔中的至少一者或多者在第一晶粒與第二晶粒之間可選擇性地交換電性訊號。
在一些進一步的實施例中,半導體裝置組合件更包括:多個第五導電通孔,在第一晶粒的第一側上的第五區域中朝向第一晶粒的第一側延伸,多個第五導電通孔中的每一者具有大於第二大小的第五大小,並且第五區域不同於第一區域及第二區域;以及多個第六導電通孔,在第二晶粒的第二側上的第六區域中朝向第二晶粒的第二側延伸,多個第六導電通孔中的每一者具有大於第四大小的第六大小,並且第六區域不同於第三區域及第四區域。合適地,矽內連晶粒與第一晶粒的第一側上的第一區域、第二區域及第五區域以及第二晶粒的第二側上的第三區域、第四區域及第六區域交疊,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔、所述多個第四導電通孔、所述多個第五導電通孔及所述多個第六導電通孔中的至少一者或多者在第一晶粒與第二晶粒之間可選擇性地交換電性訊號。
在一些另外的實施例中,第一晶粒具有第一端;第二晶粒具有第二端,第二晶粒的第二端面向第一晶粒的第一端;第一晶粒的第一側上的第一區域鄰近第一晶粒的第一端,並且第一晶粒的第一側上的第二區域鄰近第一區域,使得第一區域位於第一晶粒的第一端與第二區域之間;且第二晶粒的第二側上的第三區域鄰近第二晶粒的第二端,並且第二晶粒的第二側上的第四區域鄰近第三區域,使得第三區域位於第二晶粒的第二端與第四區域之間。
在一些實施例中,第一區域具有在垂直於第一晶粒的第一端的第一方向上量測的第一寬度;第二區域具有在第一方向上量測的第二寬度,第二寬度大於第一寬度;第三區域具有在垂直於第二晶粒的第二端的第二方向上量測的第三寬度;且第四區域具有在第二方向上量測的第四寬度,第四寬度大於第三寬度。
在再一些實施例中,第二晶粒的第二端與第一晶粒的第一端間隔開。
在一些進一步的實施例中,第一晶粒及第二晶粒中的至少一者包括系統晶片(SoC)晶粒。
在一些實施例中,半導體裝置組合件更包括:重佈線層(RDL),分別設置於第一晶粒的第一側及第二晶粒的第二側之上,其中矽內連晶粒定位於RDL與第一晶粒及第二晶粒之間,RDL將一或多個電性連接點的一或多個位置重佈線至第一晶粒、第二晶粒及矽內連晶粒中的至少一者。
在再一些實施例中,一種對半導體進行封裝的方法包括:將第一半導體晶粒與第二半導體晶粒彼此靠近地定位於載體基底上,其中相對於第一半導體晶粒界定第一區段及第二區段,並且相對於第二半導體晶粒界定第三區段及第四區段;在第一區段中形成多個第一導電通孔,多個第一導電通孔中的每一者具有第一大小;在第二區段中形成多個第二導電通孔,多個第二導電通孔中的每一者具有不同於第一大小的第二大小;在第三區段中形成多個第三導電通孔,多個第三導電通孔中的每一者具有第三大小;在第四區段中形成多個第四導電通孔,多個第四導電通孔中的每一者具有不同於第三大小的第四大小;以及將第一半導體晶粒及第二半導體晶粒與內連晶粒電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔及所述多個第四導電通孔中的至少一者或多者在第一半導體晶粒與第二半導體晶粒之間可選擇性地交換電性訊號,內連晶粒與第一區段、第二區段、第三區段及第四區段交疊。
在一些實施例中,所述方法更包括:在相對於第一半導體晶粒界定的第五區段中形成多個第五導電通孔,多個第五導電通孔中的每一者具有大於第二大小的第五大小;以及在相對於第二半導體晶粒界定的第六區段中形成多個第六導電通孔,多個第六導電通孔中的每一者具有大於第四大小的第六大小。合適地,第一半導體晶粒與第二半導體晶粒藉由內連晶粒彼此電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔、所述多個第四導電通孔、所述多個第五導電通孔及所述多個第六導電通孔中的至少一者或多者在第一半導體晶粒與第二半導體晶粒之間可選擇性地交換電性訊號,且內連晶粒與第一區段、第二區段、第三區段、第四區段、第五區段及第六區段交疊。
在一些進一步的實施例中,第一半導體晶粒具有第一端,且第二半導體晶粒具有第二端,並且第一半導體晶粒及第二半導體晶粒定位於載體基底上,使得第二端與第一端彼此面對;第一區段鄰近第一端,且第二區段鄰近第一區段,使得第一區段位於第一端與第二區段之間;且第三區段鄰近第二端,且第四區段鄰近第三區段,使得第三區段位於第二端與第四區段之間。
在又一些實施例中,第一區段具有在垂直於第一半導體晶粒的第一端的第一方向上量測的第一寬度;第二區段具有在第一方向上量測的第二寬度,第二寬度大於第一寬度;第三區段具有在垂直於第二半導體晶粒的第二端的第二方向上量測的第三寬度;且第四區段具有在第二方向上量測的第四寬度,第四寬度大於第三寬度。
在一些另外的實施例中,第一半導體晶粒及第二半導體晶粒定位於載體基底上,使得第二半導體晶粒的第二端與第一半導體晶粒的第一端間隔開。
在一些進一步的實施例中,第一半導體晶粒及第二半導體晶粒中的至少一者包括系統晶片(SoC)晶粒。
在一些另外的實施例中,所述方法更包括:形成分別設置於第一半導體晶粒及第二半導體晶粒之上的重佈線層(RDL),其中內連晶粒定位於RDL與第一半導體晶粒及第二半導體晶粒之間,RDL將一或多個電性連接點的一或多個位置重佈線至第一半導體晶粒、第二半導體晶粒及內連晶粒中的至少一者。
在一些實施例中,一種用於半導體的積體扇出型(InFO)封裝包括:被定位成彼此靠近的第一晶粒與第二晶粒,其中相對於第一晶粒界定第一區段及第二區段,且相對於第二晶粒界定第三區段及第四區段;形成於第一區段中的多個第一導電通孔,多個第一導電通孔中的每一者具有第一大小;形成於第二區段中的多個第二導電通孔,多個第二導電通孔中的每一者具有不同於第一大小的第二大小;形成於第三區段中的多個第三導電通孔,多個第三導電通孔中的每一者具有第三大小;形成於第四區段中的多個第四導電通孔,多個第四導電通孔中的每一者具有不同於第三大小的第四大小;以及局部矽內連(LSI)晶粒,將第一晶粒與第二晶粒彼此電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔及所述多個第四導電通孔中的至少一者或多者在第一晶粒與第二晶粒之間可選擇性地交換電性訊號,LSI晶粒與第一區段、第二區段、第三區段及第四區段交疊。
在一些實施例中,InFO封裝更包括:多個第五導電通孔,形成於相對於第一晶粒界定的第五區段中,多個第五導電通孔中的每一者具有大於第二大小的第五大小;以及多個第六導電通孔,形成於相對於第二晶粒界定的第六區段中,多個第六導電通孔中的每一者具有大於第四大小的第六大小。合適地,第一晶粒與第二晶粒藉由LSI晶粒彼此電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔、所述多個第四導電通孔、所述多個第五導電通孔及所述多個第六導電通孔中的至少一者或多者在第一晶粒與第二晶粒之間可選擇性地交換電性訊號;且所述LSI晶粒與第一區段、第二區段、第三區段、第四區段、第五區段及第六區段交疊。
在一些進一步的實施例中,第一晶粒具有第一端,且第二晶粒具有第二端,並且第一晶粒與第二晶粒相對於彼此定位,使得第二端與第一端面向彼此並彼此間隔開;第一區段鄰近第一端,且第二區段鄰近第一區段,使得第一區段位於第一端與第二區段之間;且第三區段鄰近第二端,且第四區段鄰近第三區段,使得第三區段位於第二端與第四區段之間。
在又一些實施例中,第一區段具有在垂直於第一晶粒的第一端的第一方向上量測的第一寬度;第二區段具有在第一方向上量測的第二寬度,第二寬度大於第一寬度;第三區段具有在垂直於第二晶粒的第二端的第二方向上量測的第三寬度;且第四區段具有在第二方向上量測的第四寬度,第四寬度大於第三寬度。
在再一些實施例中,第一晶粒及第二晶粒中的至少一者包括系統晶片(SoC)晶粒。
在再一個實施例中,InFO封裝更包括:重佈線層(RDL),分別設置於第一晶粒及第二晶粒上方,其中LSI晶粒定位於RDL與第一晶粒及第二晶粒之間,RDL將一或多個電性連接點的一或多個位置重佈線至第一晶粒、第二晶粒及LSI晶粒中的至少一者。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、代替及變更。
100:半導體晶粒或封裝 102:主動側 104:端部 120:導通孔/導電通孔/通孔 122:接墊 130:模製件 132:層 140:導電凸塊/凸塊/UBML凸塊 150:導電模製通孔(TMV) 200:內連晶粒/矽內連晶粒/局部矽內連(LSI)晶粒 202:接墊或電性接觸件 204:矽穿孔(TSV) 210:底部填充物 220:第二模製件/模製件 300:重佈線層(RDL) 310:受控塌陷晶片連接(C4)凸塊 400:黏著框架膠帶/框架膠帶 500:半導體組合件/封裝 510:基底 512:第一側 514:第二側 520:底部填充物 600:半導體裝置 700:壁/環 702、712:黏著劑 710:蓋 800:球柵陣列(BGA) C:載體基底 D:距離 D1、D2、D3:直徑 DB:剝離層 K1、K2、K3:寬度 R:陰影框或輪廓 Z1:第一區段/區段 Z2:第二區段/區段 Z3:第三區段/區段
藉由結合附圖閱讀以下詳細說明,會最佳地理解本揭露的態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小附圖中所示的各種特徵的尺寸。 圖1至圖17根據在本文中揭露的一些實施例示意性地示出包括半導體裝置組合件(semiconductor device assembly)的積體扇出型(integrated fan-out,InFO)平台封裝(platform package)在用於製造InFO平台封裝的合適製作製程的各個階段的剖視圖。 圖1至圖16根據在本文中揭露的一些實施例以剖視圖示意性地示出在半導體製造製程的各個階段的各種半導體晶粒、裝置、組合件、組件及/或封裝的佈置。 圖17根據在本文中揭露的一些實施例示意性地示出由局部矽內連(local silicon interconnection,LSI)晶粒連接的一對半導體晶粒或封裝的俯視平面圖。
100:半導體晶粒或封裝
102:主動側
104:端部
120:導通孔/導電通孔/通孔
C:載體基底
D:距離
DB:剝離層
Z1:第一區段/區段
Z2:第二區段/區段
Z3:第三區段/區段

Claims (20)

  1. 一種半導體裝置組合件,包括: 第一晶粒,具有第一側、位於所述第一側上的第一區域及位於所述第一側上的第二區域; 第二晶粒,具有第二側、位於所述第二側上的第三區域及位於所述第二側上的第四區域; 多個第一導電通孔,在所述第一區域中電性接觸所述第一晶粒的所述第一側並遠離所述第一晶粒的所述第一側延伸,所述多個第一導電通孔中的每一者具有第一大小; 多個第二導電通孔,在所述第二區域中電性接觸所述第一晶粒的所述第一側並遠離所述第一晶粒的所述第一側延伸,所述多個第二導電通孔中的每一者具有第二大小,所述第二大小大於所述第一大小; 多個第三導電通孔,在所述第三區域中電性接觸所述第二晶粒的所述第二側並遠離所述第二晶粒的所述第二側延伸,所述多個第三導電通孔中的每一者具有第三大小; 多個第四導電通孔,在所述第四區域中電性接觸所述第二晶粒的所述第二側並遠離所述第二晶粒的所述第二側延伸,所述多個第四導電通孔中的每一者具有第四大小,所述第四大小大於所述第三大小;以及 矽內連晶粒,分別設置於所述第一晶粒的所述第一側及所述第二晶粒的所述第二側上,其中所述矽內連晶粒與所述第一晶粒的所述第一側上的所述第一區域及所述第二區域以及所述第二晶粒的所述第二側上的所述第三區域及所述第四區域交疊,並且所述矽內連晶粒被電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔及所述多個第四導電通孔中的至少一者或多者在所述第一晶粒與所述第二晶粒之間可選擇性地交換電性訊號。
  2. 如請求項1所述的半導體裝置組合件,更包括: 多個第五導電通孔,在所述第一晶粒的所述第一側上的第五區域中朝向所述第一晶粒的所述第一側延伸,所述多個第五導電通孔中的每一者具有大於所述第二大小的第五大小,並且所述第五區域不同於所述第一區域及所述第二區域;以及 多個第六導電通孔,在所述第二晶粒的所述第二側上的第六區域中朝向所述第二晶粒的所述第二側延伸,所述多個第六導電通孔中的每一者具有大於所述第四大小的第六大小,並且所述第六區域不同於所述第三區域及所述第四區域; 其中所述矽內連晶粒與所述第一晶粒的所述第一側上的所述第一區域、所述第二區域及所述第五區域以及所述第二晶粒的所述第二側上的所述第三區域、所述第四區域及所述第六區域交疊,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔、所述多個第四導電通孔、所述多個第五導電通孔及所述多個第六導電通孔中的至少一者或多者在所述第一晶粒與所述第二晶粒之間可選擇性地交換電性訊號。
  3. 如請求項1所述的半導體裝置組合件,其中: 所述第一晶粒具有第一端; 所述第二晶粒具有第二端,所述第二晶粒的所述第二端面向所述第一晶粒的所述第一端; 所述第一晶粒的所述第一側上的所述第一區域鄰近所述第一晶粒的所述第一端,並且所述第一晶粒的所述第一側上的所述第二區域鄰近所述第一區域,使得所述第一區域位於所述第一晶粒的所述第一端與所述第二區域之間;且 所述第二晶粒的所述第二側上的所述第三區域鄰近所述第二晶粒的所述第二端,並且所述第二晶粒的所述第二側上的所述第四區域鄰近所述第三區域,使得所述第三區域位於所述第二晶粒的所述第二端與所述第四區域之間。
  4. 如請求項3所述的半導體裝置組合件,其中: 所述第一區域具有在垂直於所述第一晶粒的所述第一端的第一方向上量測的第一寬度; 所述第二區域具有在所述第一方向上量測的第二寬度,所述第二寬度大於所述第一寬度; 所述第三區域具有在垂直於所述第二晶粒的所述第二端的第二方向上量測的第三寬度;且 所述第四區域具有在所述第二方向上量測的第四寬度,所述第四寬度大於所述第三寬度。
  5. 如請求項3所述的半導體裝置組合件,其中所述第二晶粒的所述第二端與所述第一晶粒的所述第一端間隔開。
  6. 如請求項1所述的半導體裝置組合件,其中所述第一晶粒及所述第二晶粒中的至少一者包括系統晶片(SoC)晶粒。
  7. 如請求項1所述的半導體裝置組合件,更包括: 重佈線層(RDL),分別設置於所述第一晶粒的所述第一側及所述第二晶粒的所述第二側之上,其中所述矽內連晶粒定位於所述重佈線層與所述第一晶粒及所述第二晶粒之間,所述重佈線層將一或多個電性連接點的一或多個位置重佈線至所述第一晶粒、所述第二晶粒及所述矽內連晶粒中的至少一者。
  8. 一種對半導體進行封裝的方法,包括: 將第一半導體晶粒與第二半導體晶粒彼此靠近地定位於載體基底上,其中相對於所述第一半導體晶粒界定第一區段及第二區段,並且相對於所述第二半導體晶粒界定第三區段及第四區段; 在所述第一區段中形成多個第一導電通孔,所述多個第一導電通孔中的每一者具有第一大小; 在所述第二區段中形成多個第二導電通孔,所述多個第二導電通孔中的每一者具有不同於所述第一大小的第二大小; 在所述第三區段中形成多個第三導電通孔,所述多個第三導電通孔中的每一者具有第三大小; 在所述第四區段中形成多個第四導電通孔,所述多個第四導電通孔中的每一者具有不同於所述第三大小的第四大小;以及 將所述第一半導體晶粒及所述第二半導體晶粒與內連晶粒電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔及所述多個第四導電通孔中的至少一者或多者在所述第一半導體晶粒與所述第二半導體晶粒之間可選擇性地交換電性訊號,所述內連晶粒與所述第一區段、所述第二區段、所述第三區段及所述第四區段交疊。
  9. 如請求項8所述的方法,更包括: 在相對於所述第一半導體晶粒界定的第五區段中形成多個第五導電通孔,所述多個第五導電通孔中的每一者具有大於所述第二大小的第五大小;以及 在相對於所述第二半導體晶粒界定的第六區段中形成多個第六導電通孔,所述多個第六導電通孔中的每一者具有大於所述第四大小的第六大小; 其中所述第一半導體晶粒與所述第二半導體晶粒藉由所述內連晶粒彼此電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔、所述多個第四導電通孔、所述多個第五導電通孔及所述多個第六導電通孔中的至少一者或多者在所述第一半導體晶粒與所述第二半導體晶粒之間可選擇性地交換電性訊號;且所述內連晶粒與所述第一區段、所述第二區段、所述第三區段、所述第四區段、所述第五區段及所述第六區段交疊。
  10. 如請求項8所述的方法,其中: 所述第一半導體晶粒具有第一端,且所述第二半導體晶粒具有第二端,並且所述第一半導體晶粒及所述第二半導體晶粒定位於所述載體基底上,使得所述第二端與所述第一端彼此面對; 所述第一區段鄰近所述第一端,且所述第二區段鄰近所述第一區段,使得所述第一區段位於所述第一端與所述第二區段之間;且 所述第三區段鄰近所述第二端,且所述第四區段鄰近所述第三區段,使得所述第三區段位於所述第二端與所述第四區段之間。
  11. 如請求項10所述的方法,其中: 所述第一區段具有在垂直於所述第一半導體晶粒的所述第一端的第一方向上量測的第一寬度; 所述第二區段具有在所述第一方向上量測的第二寬度,所述第二寬度大於所述第一寬度; 所述第三區段具有在垂直於所述第二半導體晶粒的所述第二端的第二方向上量測的第三寬度;且 所述第四區段具有在所述第二方向上量測的第四寬度,所述第四寬度大於所述第三寬度。
  12. 如請求項10所述的方法,其中所述第一半導體晶粒及所述第二半導體晶粒定位於所述載體基底上,使得所述第二半導體晶粒的所述第二端與所述第一半導體晶粒的所述第一端間隔開。
  13. 如請求項8所述的方法,其中所述第一半導體晶粒及所述第二半導體晶粒中的至少一者包括系統晶片(SoC)晶粒。
  14. 如請求項8所述的方法,更包括: 形成分別設置於所述第一半導體晶粒及所述第二半導體晶粒之上的重佈線層(RDL),其中所述內連晶粒定位於所述重佈線層與所述第一半導體晶粒及所述第二半導體晶粒之間,所述重佈線層將一或多個電性連接點的一或多個位置重佈線至所述第一半導體晶粒、所述第二半導體晶粒及所述內連晶粒中的至少一者。
  15. 一種用於半導體的積體扇出型(InFO)封裝,包括: 被定位成彼此靠近的第一晶粒與第二晶粒,其中相對於所述第一晶粒界定第一區段及第二區段,且相對於所述第二晶粒界定第三區段及第四區段; 形成於所述第一區段中的多個第一導電通孔,所述多個第一導電通孔中的每一者具有第一大小; 形成於所述第二區段中的多個第二導電通孔,所述多個第二導電通孔中的每一者具有不同於所述第一大小的第二大小; 形成於所述第三區段中的多個第三導電通孔,所述多個第三導電通孔中的每一者具有第三大小; 形成於所述第四區段中的多個第四導電通孔,所述多個第四導電通孔中的每一者具有不同於所述第三大小的第四大小;以及 局部矽內連(LSI)晶粒,將所述第一晶粒與所述第二晶粒彼此電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔及所述多個第四導電通孔中的至少一者或多者在所述第一晶粒與所述第二晶粒之間可選擇性地交換電性訊號,所述局部矽內連晶粒與所述第一區段、所述第二區段、所述第三區段及所述第四區段交疊。
  16. 如請求項15所述的積體扇出型封裝,更包括: 多個第五導電通孔,形成於相對於所述第一晶粒界定的第五區段中,所述多個第五導電通孔中的每一者具有大於所述第二大小的第五大小;以及 多個第六導電通孔,形成於相對於所述第二晶粒界定的第六區段中,所述多個第六導電通孔中的每一者具有大於所述第四大小的第六大小; 其中所述第一晶粒與所述第二晶粒藉由所述局部矽內連晶粒彼此電性連接,使得藉由所述多個第一導電通孔、所述多個第二導電通孔、所述多個第三導電通孔、所述多個第四導電通孔、所述多個第五導電通孔及所述多個第六導電通孔中的至少一者或多者在所述第一晶粒與所述第二晶粒之間可選擇性地交換電性訊號;且 其中所述局部矽內連晶粒與所述第一區段、所述第二區段、所述第三區段、所述第四區段、所述第五區段及所述第六區段交疊。
  17. 如請求項15所述的積體扇出型封裝,其中: 所述第一晶粒具有第一端,且所述第二晶粒具有第二端,並且所述第一晶粒與所述第二晶粒相對於彼此定位,使得所述第二端與所述第一端面向彼此並彼此間隔開; 所述第一區段鄰近所述第一端,且所述第二區段鄰近所述第一區段,使得所述第一區段位於所述第一端與所述第二區段之間;且 所述第三區段鄰近所述第二端,且所述第四區段鄰近所述第三區段,使得所述第三區段位於所述第二端與所述第四區段之間。
  18. 如請求項17所述的積體扇出型封裝,其中: 所述第一區段具有在垂直於所述第一晶粒的所述第一端的第一方向上量測的第一寬度; 所述第二區段具有在所述第一方向上量測的第二寬度,所述第二寬度大於所述第一寬度; 所述第三區段具有在垂直於所述第二晶粒的所述第二端的第二方向上量測的第三寬度;且 所述第四區段具有在所述第二方向上量測的第四寬度,所述第四寬度大於所述第三寬度。
  19. 如請求項15所述的積體扇出型封裝,其中所述第一晶粒及所述第二晶粒中的至少一者包括系統晶片(SoC)晶粒。
  20. 如請求項15所述的積體扇出型封裝,更包括: 重佈線層(RDL),分別設置於所述第一晶粒及所述第二晶粒之上,其中所述局部矽內連晶粒定位於所述重佈線層與所述第一晶粒及所述第二晶粒之間,所述重佈線層將一或多個電性連接點的一或多個位置重佈線至所述第一晶粒、所述第二晶粒及所述局部矽內連晶粒中的至少一者。
TW112105668A 2022-08-23 2023-02-17 半導體裝置組合件、積體扇出型封裝及對半導體進行封裝的方法 TW202410326A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/893,354 US20240071998A1 (en) 2022-08-23 2022-08-23 Integrated fan-out platform and manufacturing method for semiconductor devices
US17/893,354 2022-08-23

Publications (1)

Publication Number Publication Date
TW202410326A true TW202410326A (zh) 2024-03-01

Family

ID=89998602

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112105668A TW202410326A (zh) 2022-08-23 2023-02-17 半導體裝置組合件、積體扇出型封裝及對半導體進行封裝的方法

Country Status (2)

Country Link
US (1) US20240071998A1 (zh)
TW (1) TW202410326A (zh)

Also Published As

Publication number Publication date
US20240071998A1 (en) 2024-02-29

Similar Documents

Publication Publication Date Title
TWI669785B (zh) 半導體封裝體及其形成方法
TWI708355B (zh) 半導體封裝
TWI692838B (zh) 半導體封裝及其形成方法
TWI713129B (zh) 半導體元件及其形成方法
TWI719189B (zh) 半導體封裝體、半導體元件及其形成方法
TWI644402B (zh) 半導體封裝及其形成方法
US11742254B2 (en) Sensor package and method
TWI649845B (zh) 半導體封裝結構及其製造方法
TWI711149B (zh) 半導體封裝及其製造方法
TW202105663A (zh) 積體電路封裝
TW201724413A (zh) 晶片封裝及其製造方法
KR102424012B1 (ko) 반도체 패키지 및 방법
TW202133376A (zh) 半導體封裝及其製造方法
US12021053B2 (en) Semiconductor package and method
US20230069031A1 (en) Semiconductor Package and Method
US20230352357A1 (en) Sensor packages
KR20130054115A (ko) 반도체 패키지 및 반도체 소자 패키징 방법
TW202134713A (zh) 積體電路封裝及其形成方法
US20230386866A1 (en) Semiconductor Package and Method of Forming Thereof
KR20220013891A (ko) 반도체 패키지들에서의 방열 및 그 형성 방법
TW202129855A (zh) 積體電路封裝
TW202114135A (zh) 封裝及其形成方法
KR102557597B1 (ko) 반도체 패키징 및 그 형성 방법
TW202410326A (zh) 半導體裝置組合件、積體扇出型封裝及對半導體進行封裝的方法
TW202401695A (zh) 半導體封裝及方法