TW202410152A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202410152A
TW202410152A TW112116770A TW112116770A TW202410152A TW 202410152 A TW202410152 A TW 202410152A TW 112116770 A TW112116770 A TW 112116770A TW 112116770 A TW112116770 A TW 112116770A TW 202410152 A TW202410152 A TW 202410152A
Authority
TW
Taiwan
Prior art keywords
source
disposed
spacers
region
dielectric layer
Prior art date
Application number
TW112116770A
Other languages
English (en)
Inventor
林志昌
張榮宏
陳仕承
王志豪
姚茜甯
莊宗翰
江國誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202410152A publication Critical patent/TW202410152A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

揭示具有背側接觸結構的半導體裝置及其製造方法。半導體裝置包含第一和第二源極/汲極區、設置為鄰近第一源極/汲極區的奈米結構半導體層的堆疊、圍繞每個奈米結構半導體層的閘極結構、設置在第一源極/汲極區的相反側壁上的第一對間隔物、設置在第二源極/汲極區的相反側壁上的第二對間隔物、設置在閘極結構的相反側壁上的第三對間隔物、設置在第一源極/汲極區的第一表面上的第一接觸結構、以及設置在第一源極/汲極區的第二表面上的第二接觸結構。第一表面與第二表面彼此相對。第一對間隔物設置在第二接觸結構的相反側壁上。

Description

半導體裝置及其製造方法
本發明實施例關於半導體製造技術,特別關於半導體裝置及其製造方法。
隨著半導體技術的進步,對更高儲存容量、更快處理系統、更高性能和更低成本的需求不斷增加。為了滿足這些需求,半導體產業持續縮減半導體裝置的尺寸,例如金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistors;MOSFETs)、鰭式場效電晶體(fin field effect transistors;finFETs)和全繞式閘極(gate-all-around;GAA)場效電晶體。這樣的尺寸微縮增加了半導體製造製程的複雜性。
根據一些實施例提供半導體裝置,包含第一源極/汲極區和第二源極/汲極區;設置為鄰近第一源極/汲極區的奈米結構半導體層的堆疊;至少部分地每個奈米結構半導體層的閘極結構;設置在第一源極/汲極區的相反側壁上的第一對間隔物;設置在第二源極/汲極區的相反側壁上的第二對間隔物;設置在閘極結構的相反側壁上的第三對間隔物;設置在第一源極/汲極區的第一表面上的第一接觸結構;以及設置在第一源極/汲極區的第二表面上的第二接觸結構,其中第一表面與第二表面彼此相對,且其中第一對間隔物設置在第二接觸結構的相反側壁上。
根據另一些實施例提供半導體裝置,包含第一奈米結構通道區和第二奈米結構通道區;分別至少部分地圍繞第一奈米結構通道區和第二奈米結構通道區的第一閘極結構和第二閘極結構;設置在第一奈米結構通道區和第二奈米結構通道區之間的磊晶區;設置在磊晶區的相反側壁上的第一間隔物和第二間隔物;以及設置在磊晶區上且介於第一間隔物和第二間隔物之間的接觸結構。
根據又一些實施例提供半導體裝置的製造方法,包含在基板上形成鰭片結構;在鰭片結構的第一鰭片區上形成超晶格結構,超晶格結構具有第一奈米結構層和第二奈米結構層;在鰭片結構的相反側壁上形成第一間隔物和第二間隔物;在鰭片結構的第二鰭片區上以及第一間隔物和第二間隔物之間形成磊晶區;用閘極結構取代第二奈米結構層;用導電層取代鰭片結構的第一部分;以及用介電層取代鰭片結構的第二部分。
以下內容提供許多不同實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,而非用於限定。舉例來說,將第一部件形成於第二部件上方的製程的描述可能包含形成第一部件和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一部件和第二部件之間,使得第一部件和第二部件不直接接觸的實施例。如本文所用,將第一部件形成於第二部件上表示第一部件形成為直接接觸第二部件。此外,本發明實施例在不同範例中可以重複使用參考標號及/或字母。此重複是為了簡化和清楚之目的,而非代表所討論的不同實施例及/或組態之間有特定的關係。
本文可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……之上」、「上方的」及類似的用詞,以便於描述如圖所示之一個(些)元件或部件與另一個(些)元件或部件之間的關係。這些空間相對用語用於涵蓋使用中或操作中的裝置之不同方位,以及圖式中描繪的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則在此使用的空間相對形容詞也將依轉向後的方位來解釋。
注意,說明書中對於「一個實施例」、「一實施例」、「例示性實施例」、「例示性」等的引用表示所述實施例可以包含特定部件、結構或特性,但每個實施例可能不一定包含特定部件、結構或特性。另外,這些詞語不一定指相同的實施例。此外,當結合一實施例描述特定部件、結構或特性時,無論是否明確描述,結合其他實施例來實現這種部件、結構或特性都在本技術領域中具有通常知識者的知識範圍內。
應理解的是,本文的措辭或用語是為了描述而非限制的目的,使得本說明書的用語或措辭應由相關領域中具有通常知識者根據本文的教示來解釋。
在一些實施例中,用語「約」和「大致」可以表示給定量的數值在此數值的5%內變化(例如此數值的±1%、±2%、±3%、±4%、±5%)。這些數值僅是範例而非用於限制。用語「約」和「大致」可以指相關領域技術人員根據本文的教示來解釋的數值的百分比。
可以藉由任何合適的方法將全繞式閘極電晶體結構圖案化。舉例來說,可以使用一或多種光微影製程將結構圖案化,包含雙重圖案化或多重圖案化製程。通常而言,雙重圖案化或多重圖案化製程結合光微影和自對準製程,其允許產生的的圖案的例如節距(pitches)小於使用單一、直接光微影製程可獲得的節距。舉例來說,在一實施例中,在基板上方形成犧牲層並使用光微影製程將其圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,接著可以使用剩餘的間隔物將全繞式閘極結構圖案化。
對於小型、可攜式多功能電子裝置的需求不斷增加,這增加了對低功率裝置的需求,低功率裝置可以進行越來越複雜且精密的功能,同時提供持續增加的儲存容量。結果,在半導體產業中存在著在積體電路(integrated circuits;ICs)中製造低成本、高性能和低功率半導體裝置的持續趨勢。這些目標在很大程度上是藉由縮減半導體裝置的尺寸,進而增加積體電路的裝置密度來實現的。然而,持續縮減也引入相當大的裝置製造挑戰。舉例來說,縮減的尺寸增加了防止場效電晶體(例如鰭式場效電晶體或全繞式閘極場效電晶體)的相鄰鰭片結構上的磊晶源極/汲極(source/drain;S/D)區在製造期間相互合併的挑戰。此外,在縮減的半導體裝置中形成源極/汲極區和前側電源導軌(power rail)結構之間的電連接也變得具有挑戰性。
本發明實施例提供例示性半導體裝置(例如全繞式閘極場效電晶體),其具有降低的橫向尺寸之磊晶源極/汲極區以及電連接源極/汲極區與背側電源導軌的接觸結構。本發明實施例也提供製造半導體裝置的例示性方法。
在一些實施例中,半導體裝置可以具有在鰭片結構上磊晶成長源極/汲極區之前沿著鰭片結構的側壁形成之源極/汲極間隔物。源極/汲極間隔物可以包含介電材料並且可以控制源極/汲極區的磊晶橫向成長。在一些實施例中,源極/汲極間隔物可以將源極/汲極區的每一側的磊晶橫向成長限制為約1 nm至約15 nm的橫向尺寸。為了將磊晶橫向成長限制到這樣的橫向尺寸,源極/汲極間隔物可以具有約3 nm至約15 nm的寬度以及約1 nm至約30 nm的厚度。因此,源極/汲極間隔物可以防止相鄰鰭片結構上的源極/汲極區在它們的磊晶成長製程期間合併。此外,相較於在沒有源極/汲極間隔物之相鄰鰭片結構上形成電隔離的源極/汲極區的其他方法,源極/汲極間隔物的使用降低了在相鄰鰭片結構上形成電隔離的源極/汲極區的製程步驟的數量和成本。
在一些實施例中,可以用背側接觸結構取代一或多個源極/汲極區的背側下方的鰭片結構的一部分,並且可以用第一背側介電層取代半導體裝置的閘極結構和其他源極/汲極區下方的鰭片結構的其他部分。背側接觸結構可以電連接到形成在第二背側介電層中的背側電源導軌,第二背側介電層設置在第一背側介電層上。在一些實施例中,形成背側電源導軌以及電連接一或多個源極/汲極區與背側電源導軌可以降低裝置面積以及源極/汲極區和電源導軌之間的互連的數量和尺寸,進而相較於沒有背側電源導軌的其他半導體裝置降低了裝置功率消耗。此外,相較於形成在源極/汲極區的前側上的前側電源導軌,背側電源導軌可以形成為具有較低的電阻,因為背側電源導軌可以形成在比前側電源導軌更大的面積中。
此外,背側接觸結構可以形成為具有比前側接觸結構更小的寬度(例如比源極/汲極區的寬度小約5 nm至約10 nm),前側接觸結構需要比背側接觸結構更深地蝕刻源極/汲極區。因此,經由背側接觸結構將源極/汲極區電連接到背側電源導軌可以降低背側接觸結構形成期間源極/汲極區的損耗,因此,相較於具有源極/汲極區經由前側接觸結構電連接到前側電源導軌的裝置,改善了裝置性能。
第1A圖根據一些實施例繪示場效電晶體100(也稱為「全繞式閘極場效電晶體100」)的等角視圖。第1B圖根據一些實施例繪示場效電晶體100沿第1A和1F圖的線A-A的剖面圖。第1C圖根據一些實施例繪示場效電晶體100沿第1A和1F圖的線B-B的剖面圖。第1D和1E圖根據一些實施例繪示場效電晶體100沿第1A和1F圖的線A-A的不同剖面圖。第1F圖根據一些實施例繪示場效電晶體100的上視圖。第1B、1C、1D和1E圖繪示具有額外結構的場效電晶體100的剖面圖,為了簡化而未在第1A圖繪示這些額外結構。為了簡化,第1F圖未繪示第1A和1B~1D圖的一些元件。具有相同註記的元件的討論適用於彼此,除非另有說明。在一些實施例中,場效電晶體100可以表示n型場效電晶體(n-type FET)100(NFET 100)或p型場效電晶體(p-type FET)100(PFET 100),並且場效電晶體100的討論適用於NFET 100和PFET 100兩者,除非另有說明。
參照第1A、1B、1C和1F圖,場效電晶體100可以包含(i)源極/汲極區102A1~102A3和102B1~102B3、(ii)源極/汲極間隔物104、(iii)設置成鄰近源極/汲極區102A1~102A3和102B1~102B3的奈米結構通道區106的堆疊、(iv)設置成圍繞奈米結構通道區106的閘極結構108、(v)外閘極間隔物110、(vi)內閘極間隔物112、(vii)前側(front-side;FS)蝕刻停止層(etch stop layer;ESL)114F、(viii)背側(back-side;BS)蝕刻停止層114B、(ix)前側層間介電(interlayer dielectric;ILD)層116F、(x)背側層間介電層116B、(xi)淺溝槽隔離(shallow trench isolation;STI)區118、(xii)背側阻障層120、(xiii)前側接觸結構122F、(xiv)背側接觸結構122B、(xv)背側介電層130和(xvi)背側電源導軌132。在以下的描述中,源極/汲極區102A1~102A3和102B1~102B3統稱為「源極/汲極區102」,並且源極/汲極區102的討論適用於源極/汲極區102A1~102A3和102B1~102B3中的每一個,除非另有說明。在一些實施例中,源極/汲極區102可以指源極區或汲極區。場效電晶體100的前側元件設置在源極/汲極區102的前側表面102f上,並且場效電晶體100的背側元件設置在源極/汲極區102的背側表面102b上。
在一些實施例中,對於NFET 100,每個源極/汲極區102可以包含磊晶成長的半導體材料,例如摻雜n型摻質的Si和碳化矽(SiC),例如磷和其他合適的n型摻質。在一些實施例中,對於PFET 100,每個源極/汲極區102可以包含磊晶成長的半導體材料,例如摻雜p型摻質的Si和SiGe,例如硼和其他合適的p型摻質。
在一些實施例中,源極/汲極區102沿Y軸的磊晶橫向成長可以由源極/汲極間隔物104控制。結果,源極/汲極間隔物104可以防止相鄰的源極/汲極區102(例如源極/汲極區102A1和102B1、102A2和102B2、以及102A3和102B3)在源極/汲極區102的磊晶成長期間彼此合併。在一些實施例中,源極/汲極間隔物104可以限制每個源極/汲極區102從源極/汲極區102的底部側壁102s向外延伸橫向距離D1和D2的磊晶橫向成長,如第1C圖所示。在一些實施例中,源極/汲極間隔物104可以限制每個源極/汲極區102的磊晶橫向成長,使得橫向距離D1和D2小於源極/汲極間隔物104的寬度W1。在一些實施例中,橫向距離D1和D2可以為約1 nm至約15 nm,以防止形成在彼此間隔約10 nm至約40 nm之相鄰鰭片結構336A和336B上的相鄰源極/汲極區102合併。在以下參照第3A和3B圖描述鰭片結構336A和336B且未繪示於第1A~1C圖,因為它們在源極/汲極區102的背側表面102b上的後續製程期間被移除。
源極/汲極區102的磊晶橫向成長控制可以取決於源極/汲極間隔物104的尺寸。舉例來說,為了將每個源極/汲極區102的磊晶橫向成長限制為橫向距離Dl和D2,源極/汲極間隔物104可以具有約2 nm至約15 nm的寬度W1和約1 nm至約30 nm的厚度T1。在一些實施例中,源極/汲極間隔物104可以包含介電材料,例如氮化矽(SiN)、氮氧化矽(SiON)、碳氧化矽(SiCO)、氮碳化矽(SiCN)、氮碳氧化矽(SiCON)以及其他合適的介電材料。在一些實施例中,除了源極/汲極區102的磊晶橫向成長之外,源極/汲極間隔物104可以在源極/汲極區102的形成期間減少或最少地蝕刻淺溝槽隔離區118,如以下參照第5A和5B圖所述。
在一些實施例中,前側接觸結構122F可以直接設置在一或多個源極/汲極區102(例如源極/汲極區102A2、102A3和102B2)的前側表面102f上,以將源極/汲極區102電連接至場效電晶體100的其他元件及/或積體電路中的其他主動及/或被動裝置(未繪示)。在一些實施例中,每個前側接觸結構122F可以包含(i)直接設置在前側表面102f上的矽化物層124F以及(ii)直接設置在矽化物層124F上的接觸插塞126F。在一些實施例中,矽化物層124F可以在源極/汲極區102的側壁上延伸以增加與源極/汲極區的接觸面積,進而增加源極/汲極區102和前側接觸結構122F之間的導電率。在一些實施例中,接觸插塞126F沿Y軸的寬度W2大於源極/汲極區102沿Y軸的寬度W3,以防止前側接觸結構122F與源極/汲極區102之間未對準。由於寬度W2較大,接觸插塞126F可以部分地直接設置在圍繞源極/汲極區102A2和102B2的層間介電層116F和蝕刻停止層114F上,如第1C圖所示。接觸插塞126F沿X軸的寬度W4可以小於源極/汲極區102沿X軸的寬度W5並且可以由閘極結構108之間的間距限制,如第1B圖所示。
在一些實施例中,矽化物層124F可以包含用於全繞式閘極NFET 100的矽化鈦(Ti xSi y)、矽化鉭(Ta xSi y)、矽化鉬(Mo xSi y)、矽化鋯(Zr xSi y)、矽化鉿(Hf xSi y)、矽化鈧(Sc xSi y)、矽化釔(Y xSi y)、矽化鋱(Tb xSi y)、矽化鎦(Lu xSi y)、矽化鉺(Er xSi y)、矽化鐿(Yb xSi y)、矽化銪(Eu xSi y)、矽化釷(Th xSi y)、其他合適的金屬矽化物材料或前述之組合。在一些實施例中,矽化物層124F可以包含用於全繞式閘極PFET 100的矽化鎳(Ni xSi y)、矽化鈷(Co xSi y)、矽化錳(Mn xSi y)、矽化鎢(W xSi y)、矽化鐵(Fe xSi y)、矽化銠(Rh xSi y)、矽化鈀(Pd xSi y)、矽化釕(Ru xSi y)、矽化鉑(Pt xSi y)、矽化銥(Ir xSi y)、矽化鋨(Os xSi y)、其他合適的金屬矽化物材料或前述之組合。在一些實施例中,接觸插塞126F可以包含導電材料,例如鈷(Co)、鎢(W)、釕(Ru)、銥(Ir)、鎳(Ni)、鋨(Os)、銠(Rh)、鋁(Al)、鉬(Mo)、銅(Cu)、鋯(Zr)、錫(Sn)、銀(Ag)、金(Au)、鋅(Zn)、鎘(Cd)及前述之組合。
前側層間介電層116F和前側蝕刻停止層114F可以提供前側接觸結構122F之間以及前側接觸結構122F和閘極結構108之間的電隔離。在一些實施例中,前側層間介電層116F和前側蝕刻停止層114F可以包含介電材料,例如氧化矽(SiO 2)、氮化矽(SiN)、氮氧化矽(SiON)、碳氧化矽(SiCO)、氮碳化矽(SiCN)、氮碳氧化矽(SiCON)和其他合適的介電材料。在一些實施例中,前側層間介電層116F可以包含氧化物材料,並且前側蝕刻停止層114F可以包含不同於前側層間介電層116F的氮化物材料。在一些實施例中,在源極/汲極間隔物104下方延伸的前側蝕刻停止層114F的一部分可以具有半圓形或開口圓形輪廓,如第1A和1C圖所示。
在一些實施例中,背側接觸結構122B可以設置在源極/汲極區102A2中(如第1B、1C和1E圖所示)或直接設置在源極/汲極區102A2的背側表面102b上(如第1D圖所示)。背側接觸結構122B可以將源極/汲極區102A2電連接到設置在背側介電層130中的背側電源導軌132。背側電源導軌132可以包含釕(Ru)、銅(Cu)或其他合適的金屬(未繪示)之金屬線,用於經由背側接觸結構122B向源極/汲極區102A2提供電源。除了源極/汲極區102A2之外或代替源極/汲極區102A2,任何其他源極/汲極區102A1、102A3、102B1、102B2和102B3可以經由類似於背側接觸結構122B的背側接觸結構電連接到背側電源導軌132。將背側電源導軌132放置在源極/汲極區102的背側表面上可以減少裝置面積以及源極/汲極區102A2和背側電源導軌132之間的互連(例如背側接觸結構122B)的數量和尺寸,進而相較於沒有背側電源導軌的其他場效電晶體降低了功率消耗。
在一些實施例中,相較於沒有背側電源導軌的場效電晶體中將源極/汲極區電連接到前側電源導軌的前側接觸結構的尺寸,背側接觸結構122B可以形成為具有更小的尺寸。在一些實施例中,背側接觸結構122B可以具有約5 nm至約40 nm的高度H1以及比源極/汲極區102A2的寬度W5小約5 nm至約10 nm的寬度W6。背側接觸結構122B的這種尺寸可以在背側接觸結構122B和源極/汲極區102A2之間實現足夠的導電性,而不會對場效電晶體100的尺寸和製造成本造成影響。除了更小的尺寸之外,相較於沒有背側電源導軌的場效電晶體中的前側接觸結構,也可以用更少的源極/汲極區域102A2的蝕刻量來形成背側接觸結構122B。舉例來說,如第1B和1C圖所示,延伸到源極/汲極區102A2中的背側接觸結構122B的形成可以包含將源極/汲極區102A2蝕刻到約3 nm至約20 nm的淺深度D3。在另一範例中,背側接觸結構122B可以直接形成在源極/汲極區102A2的背側表面102b上(第1D圖所示),而無需對源極/汲極區102A2進行任何實質性蝕刻。在最少蝕刻或不蝕刻源極/汲極區102A2的情況下形成背側接觸結構122B可以降低或最小化對源極/汲極區102A2的蝕刻損傷,進而改善裝置性能。
在一些實施例中,背側接觸結構122B可以設置在源極/汲極區102A2的源極/汲極間隔物104之間,並且背側接觸結構122B的寬度W7可以由源極/汲極區102A2的源極/汲極間隔物104之間的距離限制,如第1C圖所示。在一些實施例中,背側接觸結構122B可以包含(i)矽化物層124B,設置在源極/汲極區102A2中(如第1B、1C和1E圖所示)或直接設置在源極/汲極區102A2的背側表面102b上(如第1D圖所示)、(ii)直接設置在矽化物層124B上的接觸插塞126B、以及(iii)直接設置在接觸插塞126B的側壁上並圍繞接觸插塞126B的擴散阻障層128B。矽化物層124F的討論適用於矽化物層124B,除非另有說明。在一些實施例中,矽化物層124F和124B可以具有彼此相同或不同的材料。在一些實施例中,接觸插塞126B可以包含導電材料,例如W、Ru、Co、Cu、Ti、Ta、Mo、Ni、氮化鈦(TiN)、氮化鉭(TaN)和其他合適的導電材料。
擴散阻障層128B可以藉由防止氧原子從相鄰結構(例如背側層間介電層116B和背側阻障層120)擴散到接觸插塞126B來防止接觸插塞126B的氧化。在一些實施例中,擴散阻障層128B可以包含介電材料,例如氧化矽(SiO 2)、氮化矽(SiN)、氮氧化矽(SiON)、氮碳化矽(SiCN)、氮碳氧化矽(SiOCN)、氧化鋁(Al 2O 3)、氮氧化鋁(AlON)、氧化鋯(ZrO 2)、氧化鉿(HfO 2)、氧化鈦(TiO 2)、氧化鋯鋁(ZrAlO)、氧化鋅(ZnO 2)和其他合適的介電材料。在一些實施例中,擴散阻障層128B可以具有約1.5 nm至約4 nm的厚度。在此厚度範圍內,擴散阻障層128B可以充分防止接觸插塞126B氧化,而不會影響場效電晶體100的尺寸和製造成本。
在一些實施例中,背側阻障層120可以直接設置在閘極結構108的背側表面上以及不具有背側接觸結構122B的源極/汲極區102的背側表面102b上,例如源極/汲極區102A1、102B1和102B2。背側層間介電層116B可以直接設置在背側阻障層120上,並且背側蝕刻停止層114B可以直接設置在背側層間介電層116B上。背側阻障層120、背側層間介電層116B和背側蝕刻停止層114B可以包含介電層並且可以在背側元件(例如背側接觸結構122B和背側電源導軌132)的形成期間保護閘極結構108和源極/汲極區102。此外,背側阻障層120和背側層間介電層116B可以提供背側接觸結構122B和其他背側接觸結構(未繪示)之間的電隔離。在一些實施例中,背側阻障層120可以包含氧化物層。前側層間介電層116F和前側蝕刻停止層114F的材料的討論適用於背側層間介電層116B和背側蝕刻停止層114B,除非另有說明。在一些實施例中,可以不包含背側阻障層120,並且背側層間介電層116B可以直接設置在閘極結構108的背側表面上,如第1E圖所示,以及在沒有背側接觸結構122B的源極/汲極區102的背側表面102b(未繪示)上。
參照第1A~1E圖,在一些實施例中,奈米結構通道區106可以包含半導體材料,例如Si、砷化矽(SiAs)、磷化矽(SiP)、SiC、SiCP、SiGe、矽鍺硼(SiGeB)、鍺硼(GeB)、矽鍺錫硼(SiGeSnB)、III-V半導體化合物或其他合適的半導體材料。雖然奈米結構通道區106的剖面繪示為矩形,但是奈米結構通道區106可以具有其他幾何形狀(例如圓形、橢圓形、三角形或多邊形)的剖面。在一些實施例中,奈米結構通道區106可以具有奈米片、奈米線、奈米棒、奈米管或其他合適的奈米結構形狀的形式。如本文所用,用語「奈米結構」將結構、層及/或區域定義為具有小於約100 nm的水平尺寸(例如沿著X軸及/或Y軸)及/或垂直尺寸(例如沿著Z軸),例如約90 nm、約50 nm、約10 nm或小於約100 nm的其他值。
參照第1A~1F圖,在一些實施例中,閘極結構108可以是多層結構並且可以至少部分地圍繞每個奈米結構通道區106,因此閘極結構108可以被稱為「全繞式閘極結構」。場效電晶體100可以被稱為「全繞式閘極場效電晶體100」。在一些實施例中,場效電晶體100可以是鰭式場效電晶體並具有鰭片區(未繪示)而非奈米結構通道區106。
在一些實施例中,每個閘極結構108可以包含(i)設置在奈米結構通道區106上的界面氧化物(interfacial oxide;IL)層108A、(ii)設置在界面氧化物層108A上的高介電常數閘極介電層108B、以及(iii)設置在高介電常數閘極介電層108B上的導電層108C。在一些實施例中,界面氧化物層108A可以包含氧化矽(SiO 2)、氧化矽鍺(SiGeO x)或氧化鍺(GeO x)。在一些實施例中,高介電常數閘極介電層108B可以包含高介電常數介電材料,例如氧化鉿(HfO 2)、氧化鈦(TiO 2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta 2O 3)、矽酸鉿(HfSiO 4)、氧化鋯(ZrO 2)、氧化鋯鋁(ZrAlO)、矽酸鋯(ZrSiO 2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋅(ZnO)、氧化鉿鋅(HfZnO)和氧化釔(Y 2O 3)。在一些實施例中,界面氧化物層108A可以具有約0.1 nm至約2 nm的厚度,並且高介電常數閘極介電層108B可以具有約0.5 nm至約5 nm的厚度。在這些厚度範圍內,閘極結構108可以充分發揮作用,而不影響場效電晶體100的尺寸和製造成本。
在一些實施例中,導電層108C可以是多層結構。為了簡化,未繪示導電層108C的不同層。每個導電層108C可以包含設置在高介電常數閘極介電層108B上的功函數金屬(work function metal;WFM)層和設置在功函數金屬層上的閘極金屬填充層。在一些實施例中,功函數金屬層可以包含用於全繞式閘極NFET 100的鈦鋁(TiAl)、碳化鈦鋁(TiAlC)、鉭鋁(TaAl)、碳化鉭鋁(TaAlC)、摻雜Al的鈦、摻雜Al的TiN、摻雜Al的鉭、摻雜Al的TaN或其他合適的Al基材料。在一些實施例中,功函數金屬層可以包含用於全繞式閘極PFET 100之大致不含Al(例如沒有Al)的Ti基或Ta基氮化物或合金,例如氮化鈦(TiN)、氮化鈦矽(TiSiN)、鈦金(Ti-Au)合金、鈦銅(Ti-Cu)合金、氮化鉭(TaN)、氮化鉭矽(TaSiN)、鉭金(Ta-Au)合金和鉭銅(Ta-Cu)。閘極金屬填充層可以包含合適的導電材料,例如鎢(W)、鈦、銀(Ag)、釕(Ru)、鉬(Mo)、銅(Cu)、鈷(Co)、Al、銥(Ir)、鎳(Ni)、金屬合金及前述之組合。
在一些實施例中,閘極結構108可以藉由外閘極間隔物110與相鄰的前側接觸結構122F電隔離,並且圍繞奈米結構通道區106的閘極結構108的一部分可以藉由內閘極間隔物112與相鄰的源極/汲極區102電隔離。外閘極間隔物110和內閘極間隔物112可以包含彼此相似或不同的材料。在一些實施例中,外閘極間隔物110和內閘極間隔物112可以包含絕緣材料,例如SiO 2、SiN、SiON、SiCO、SiCN、SiCON和其他合適的絕緣材料。在一些實施例中,每個外閘極間隔物110可以具有約1 nm至約10 nm的厚度。在此厚度範圍內,可以藉由閘極結構108和相鄰的前側接觸結構122F之間的外閘極間隔物110提供足夠的電隔離,而不影響場效電晶體100的尺寸和製造成本。在一些實施例中,相鄰的源極/汲極間隔物104和外閘極間隔物110是相同間隔物材料層的多個部分並且可以彼此直接接觸,如以下參照第3A~3B、4A~4B和5A~5B圖所述。
第2圖是根據一些實施例之用於製造具有第1B和1C圖所示之剖面圖的場效電晶體100的例示性方法200的流程圖。為了說明的目的,將參照用於製造如第3A~18A和3B~18B圖所示之堆疊的場效電晶體100的例示性製造製程來描述第2圖所示之操作。根據一些實施例,第3A~18A圖是場效電晶體100在其製造的各個階段沿第1A和1F圖的線A-A的剖面圖。根據一些實施例,第3B~18B圖是場效電晶體100在其製造的各個階段沿第1A和1F圖的線B-B的剖面圖。取決於具體的應用,可以用不同的順序進行或不進行操作。應注意的是,方法200可能不會產生完整的場效電晶體100。因此,可以理解,可以在方法200之前、期間和之後提供額外的製程,並且本文可能僅簡要地描述一些其他製程。與第1A~1F圖中的元件具有相同的註記之第3A~18A和3B~18B圖中的元件如上所述。
在操作205中,在基板上的鰭片結構上形成超晶格結構,並在超晶格結構上形成多晶矽結構。舉例來說,如第3A和3B圖所示,在基板334上形成鰭片結構336A和336B,在鰭片結構336A和336B上形成超晶格結構307,並在超晶格結構307上形成多晶矽結構308。基板334可以包含半導體材料,例如矽、鍺(Ge)、矽鍺(SiGe)、絕緣體上覆矽(silicon-on-insulator;SOI)結構及前述之組合。在一些實施例中,鰭片結構336A和336B可以包含類似於基板334的材料並沿X軸延伸。超晶格結構307可以包含以交替配置排列的奈米結構層106和306。在一些實施例中,奈米結構層106和306包含彼此不同的材料。在一些實施例中,奈米結構層106可以包含Si且奈米結構層306可以包含SiGe。奈米結構層306也被稱為犧牲層306。在後續製程期間,可以在閘極取代製程中用閘極結構108取代多晶矽結構308和犧牲層306。
參照第2圖,在操作210中,在鰭片結構上形成源極/汲極間隔物、外閘極間隔物和源極/汲極開口。舉例來說,如參照第3A~5A和3B~5B圖所述,在多晶矽結構308的側壁上形成外閘極間隔物110,在鰭片結構336A和336B的側壁上形成源極/汲極間隔物104,並在鰭片結構336A和336B上形成源極/汲極開口502。
在一些實施例中,可以在選擇性乾式蝕刻間隔物材料層304的不同階段,由相同的間隔物材料層304形成外閘極間隔物110和源極/汲極間隔物104。間隔物材料層304可以包含SiO 2、SiN、SiON、SiCO、SiCN、SiCON和其他合適的絕緣材料。外閘極間隔物110和源極/汲極間隔物104的形成可以開始於直接在淺溝槽隔離區118、淺溝槽隔離區118之上的鰭片結構336A和336B、超晶格結構307以及多晶矽結構308上沉積大致共形的(conformal)間隔物材料層304,如第3A和3B圖所示。在沉積間隔物材料層304之後,可以進行第一蝕刻製程以從多晶矽結構308、超晶格結構307和淺溝槽隔離區118的頂表面蝕刻間隔物材料層304的一部分以形成第4A和4B圖的結構。因此,在第一蝕刻製程之後,可以如第4A圖所示形成外閘極間隔物110,並且可以如第4B圖所示形成超晶格結構307和鰭片結構336A和336B的側壁表面上的間隔物部分304*。在第4B圖中的場效電晶體100的剖面圖中看不到外閘極間隔物110。
在一些實施例中,第一蝕刻製程可以是非等向性乾式蝕刻製程並且可以具有沿Z軸而非沿X軸或Y軸的更高蝕刻速率。結果,可以移除淺溝槽隔離區118、超晶格結構307和多晶矽結構308的頂表面上的間隔物材料層304,同時可以保留鰭片結構336A和336B和超晶格結構307的側壁表面上的間隔物部分304*。相較於多晶矽結構308和超晶格結構307,第一蝕刻製程中使用的蝕刻氣體對間隔物材料層304具有更高的選擇性。
在第一蝕刻製程之後可以是第二蝕刻製程以選擇性地蝕刻間隔物部分304*的一部分以形成源極/汲極間隔物104和超晶格結構307的一部分以形成源極/汲極開口502,如第5A和5B圖所示。源極/汲極間隔物104在第5A圖中的場效電晶體100的剖面圖中不可見。在一些實施例中,在第二蝕刻製程期間,可以用在第一蝕刻製程之後形成的遮罩層(未繪示)保護多晶矽結構308的頂表面和外閘極間隔物110的頂表面。
在一些實施例中,第二蝕刻製程可以包含以電漿為主的乾式蝕刻製程,其使用蝕刻氣體,例如四氟化碳(CF 4)、二氧化硫(SO 2)、六氟乙烷(C 2F 6)、氯氣(Cl 2)、三氟化氮(NF 3)、六氟化硫(SF 6)以及溴化氫(HBr),具有混合氣體,例如氫氣(H 2)、氧氣(O 2)、氮氣(N 2)和氬氣(Ar)。第二蝕刻製程可以在約5 mTorr至約50 mTorr的壓力下且在約25°C至約200°C的溫度下進行。蝕刻氣體的流速可以在約5標準立方公分每分鐘(standard cubic centimeter per minute;sccm)至約100 sccm的範圍。電漿功率可以在約50 W至約200 W的範圍,偏置電壓為約30V 至約200 V。
在一些實施例中,源極/汲極間隔物104的寬度Wl和厚度Tl的調整可以藉由調整第二蝕刻製程條件,例如超晶格結構307和間隔物部分304*的蝕刻氣體的蝕刻選擇性、蝕刻氣體的流速和電漿的偏置電壓。在一些實施例中,第二蝕刻製程中使用的蝕刻氣體對超晶格結構307的選擇性高於對間隔物部分304*的選擇性,進而以比間隔物部分304*更高的蝕刻速率移除超晶格結構307。結果,在第二蝕刻製程結束時,可以完全移除未被多晶矽結構308覆蓋的超晶格結構307的一部分,同時可以保留源極/汲極間隔物104以控制後續形成的源極/汲極區102的磊晶橫向成長。
在一些實施例中,在第二蝕刻製程中使用的蝕刻氣體可以對淺溝槽隔離區118具有比對間隔物部分304*更高的選擇性。結果,可以蝕刻淺溝槽隔離區118的一部分以在淺溝槽隔離區118中形成凹槽518。在一些實施例中,源極/汲極間隔物104的寬度W1可以是約2 nm至約15 nm,以防止凹槽518延伸到鰭片結構336A和336B並將鰭片結構336A和336B的側壁暴露於第二蝕刻製程的蝕刻氣體。
參照第2圖,在操作215中,在超晶格結構上形成內閘極間隔物。舉例來說,如第6A圖所示,可以在超晶格結構307的犧牲層306的側壁表面上形成內閘極間隔物112。內閘極間隔物112在第6B圖中的場效電晶體100的剖面圖中不可見。
參照第2圖,在操作220中,在源極/汲極開口中形成源極/汲極區。舉例來說,如第7A和7B圖所示,在源極/汲極開口502中形成源極/汲極區102A1、102A2、102A3和102B2。源極/汲極區102B1和102B3在第7A和7B圖中的場效電晶體100的剖面圖中不可見。源極/汲極區102的形成可以包含在面向源極/汲極開口502的奈米結構層106的暴露表面上以及在源極/汲極開口502中的鰭片結構336A和336B的暴露表面上磊晶成長源極/汲極區102的半導體材料,如第6A和6B圖所示。源極/汲極間隔物104可以將源極/汲極區102的磊晶橫向成長限制為從源極/汲極區102的底部側壁102s向外延伸橫向距離D1和D2,如第7B圖所示。在一些實施例中,橫向距離D1和D2可以是約1 nm至約15 nm,以防止相鄰的源極/汲極區102A2和102B2在形成於彼此間隔約10 nm至約40 nm的距離D4之相鄰鰭片結構336A和336B上時合併。
在一些實施例中,在形成源極/汲極區102之後,可以在第7A和7B圖的結構上沉積前側蝕刻停止層114F以形成第8A和8B圖的結構。在沉積前側蝕刻停止層114F之後,可以在前側蝕刻停止層114F上沉積前側層間介電層116F,如第8A和8B圖所示。
參照第2圖,在操作225中,用閘極結構取代多晶矽結構和犧牲層。舉例來說,如第9A圖所示,用閘極結構108取代多晶矽結構308和犧牲層306。閘極結構108在第9B圖中的場效電晶體100的剖面圖中不可見。閘極結構108的形成可以包含以下順序操作:(i)從第8A~8B圖的結構移除多晶矽結構308和犧牲層306以形成閘極開口(未繪示),(ii)在閘極開口內形成界面氧化物層108A,如第9A圖所示,(iii)在界面氧化層108A上形成高介電常數介電層108B,如第9A圖所示,以及(iv)在高介電常數介電層108B上形成導電層108C,如第9A圖所示。
參照第2圖,在操作230中,在源極/汲極區上形成前側接觸結構。舉例來說,如第10A和10B圖所示,在源極/汲極區102A2、102A3和102B2的前側表面102f上形成前側接觸結構122F。前側接觸結構122F的形成可以包含以下順序操作:(i)藉由從源極/汲極區102A2、102A3和102B2的前側表面蝕刻前側層間介電層116F和前側蝕刻停止層114F來形成接觸件開口(未繪示),(ii)在接觸件開口中的源極/汲極區102A2、102A3和102B2的暴露表面上形成矽化物層124F(如第10A和10B圖所示),(iii)在矽化物層124F上沉積導電層(未繪示)以填充接觸件開口,並進行化學機械研磨(chemical mechanical polishing;CMP)製程以使導電層和前側層間介電層116F的頂表面大致共平面以形成第10A和10B圖的結構。
參照第2圖,在操作235中,移除基板。舉例來說,如第11A和11B圖所示,移除基板334。基板334的移除可以包含在前側接觸結構122F的一側將場效電晶體100接合到載體基板(未繪示),並在基板334的背側表面上進行化學機械研磨製程直到暴露出鰭片結構336A和336B的背側表面336b,如第11A和11B圖所示。
參照第2圖,在操作240中,在源極/汲極區之一上形成背側接觸結構。舉例來說,如參照第12A~14A和12B~14B圖所述,在源極/汲極區102A2上形成背側接觸結構122B。背側接觸結構122B的形成可以包含以下順序操作:(i)在源極/汲極區102A2的背側表面102b上形成接觸件開口1222,(ii)在接觸件開口1222中暴露的背側表面102b上形成矽化物層124B,如第13A和13B圖所示,(iii)沉積具有擴散阻障層128B的材料的層1328,如第13A和13B圖所示,(iv)沉積具有接觸插塞126B的材料的層1326,如第13A和13B圖所示,如第13A和13B圖所示,以及(v)在層1326和1328上進行化學機械研磨製程以形成第14A和14B圖的結構。
在一些實施例中,接觸件開口1222的形成可以藉由使用光微影圖案化製程和蝕刻製程來移除源極/汲極區102A2下方的鰭片結構336A的一部分。在一些實施例中,蝕刻製程可以包含使用蝕刻劑的乾式蝕刻製程,蝕刻劑包含氯氣(Cl 2)、溴化氫(HBr)和氧氣(O 2)。蝕刻劑的流速可以在約5 sccm至約200 sccm的範圍。乾式蝕刻製程可以在約1 mTorr至約100 mTorr的壓力下以及約50 W至約250 W的電漿功率下進行。在一些實施例中,接觸件開口1222可以延伸約3 nm至約20 nm的距離D3到源極/汲極區102A2中,如第12A圖所示。
參照第2圖,在操作245中,用介電層取代鰭片結構。舉例來說,如參照第15A~17A和15B~17B圖所述,用背側阻障層120和背側層間介電層116B取代鰭片結構336A和336B。用背側阻障層120和背側層間介電層116B取代鰭片結構336A和336B可以包含以下順序操作:(i)蝕刻鰭片結構336A和336B以形成開口1536,如第15A和15B圖所示,(ii)沉積具有背側阻障層120的材料的層1620,如第16A和16B圖所示,(iii)沉積具有背側層間介電層116B的材料的層1616,如第16A和16B圖所示,以及(iv)在層1620和1616上進行化學機械研磨製程以形成第17A和17B圖的結構。
參照第2圖,在操作250中,在背側接觸結構上形成背側電源導軌。舉例來說,如第18A和18B圖所示,在背側接觸結構122B上形成背側電源導軌132。在一些實施例中,可以在介電層130中形成背側電源導軌132。
本發明實施例提供了例示性場效電晶體(例如全繞式閘極場效電晶體100),其具有縮短的橫向尺寸之磊晶源極/汲極區(例如源極/汲極區102)以及電連接具有背側電源導軌(例如背側電源導軌132)的源極/汲極區之接觸結構(例如背側接觸結構122F)。本發明實施例也提供了半導體裝置的例示性方法。在一些實施例中,場效電晶體可以具有在鰭片結構上磊晶成長源極/汲極區之前沿著鰭片結構(例如鰭片結構336A和336B)的側壁形成之源極/汲極間隔物(例如源極/汲極間隔物104)。源極/汲極間隔物可以包含介電材料並且可以控制源極/汲極區的磊晶橫向成長。在一些實施例中,源極/汲極間隔物可以將源極/汲極區每一側的磊晶橫向成長限制為約1 nm至約15 nm的橫向尺寸(例如橫向距離D1和D2)。為了將磊晶橫向成長限制到這樣的橫向尺寸,源極/汲極間隔物可以具有約3 nm至約15 nm的寬度(例如寬度W1)以及約1 nm至約30 nm的厚度(例如厚度T1)。因此,源極/汲極間隔物可以防止相鄰鰭片結構上的源極/汲極區在它們的磊晶成長製程期間合併。此外,相較於在沒有源極/汲極間隔物之相鄰鰭片結構上形成電隔離的源極/汲極的其他方法,源極/汲極間隔物的使用降低了在相鄰鰭片結構上形成電隔離的源極/汲極區的製程步驟的數量和成本。
在一些實施例中,可以用背側接觸結構(例如背側接觸結構122F)取代一或多個源極/汲極區的背側下方的鰭片結構的一部分,並且可以用第一背側介電層(例如背側層間介電層116B)取代半導體裝置的閘極結構和其他源極/汲極區下方的鰭片結構的其他部分。背側接觸結構可以電連接到形成在第二背側介電層(例如介電層130)中的背側電源導軌(例如背側電源導軌132),第二背側介電層設置在第一背側介電層上。在一些實施例中,形成背側電源導軌以及電連接一或多個源極/汲極區與背側電源導軌可以降低裝置面積以及源極/汲極區和電源導軌之間的互連的數量和尺寸,進而相較於沒有背側電源導軌的其他半導體裝置降低了裝置功率消耗。此外,相較於形成在源極/汲極區的前側上的前側電源導軌,背側電源導軌可以形成為具有較低的電阻,因為背側電源導軌可以形成在比前側電源導軌更大的面積中。
此外,背側接觸結構可以形成為具有比前側接觸結構更小的寬度(例如比源極/汲極區的寬度小約5 nm至約10 nm),前側接觸結構需要比背側接觸結構更深地蝕刻源極/汲極區。因此,經由背側接觸結構將源極/汲極區電連接到背側電源導軌可以降低背側接觸結構形成期間源極/汲極區的損耗,因此,相較於具有源極/汲極區經由前側接觸結構電連接到前側電源導軌的裝置,改善了裝置性能。
在一些實施例中,半導體裝置包含第一和第二源極/汲極區、設置為鄰近第一源極/汲極區的奈米結構半導體層的堆疊、圍繞每個奈米結構半導體層的閘極結構、設置在第一源極/汲極區的相反側壁上的第一對間隔物、設置在第二源極/汲極區的相反側壁上的第二對間隔物(104)、設置在閘極結構的相反側壁上的第三對間隔物、設置在第一源極/汲極區的第一表面上的第一接觸結構、以及設置在第一源極/汲極區的第二表面上的第二接觸結構。第一表面與第二表面彼此相對。第一對間隔物設置在第二接觸結構的相反側壁上。
在一些實施例中,此半導體裝置更包含設置在第二源極/汲極區上的介電層,其中第二對間隔物設置在介電層的相反側壁上。
在一些實施例中,第一對間隔物和第二對間隔物物理接觸第三對間隔物,並且第一對間隔物和第二對間隔物藉由介電層相互隔開。
在一些實施例中,此半導體裝置更包含設置在第一源極/汲極區的相反側壁上以及第一對間隔物的側壁上的介電層。
在一些實施例中,此半導體裝置更包含設置在第一源極/汲極區與第二源極/汲極區之間的介電層,其中第一對間隔物和第二對間隔物設置在介電層上。
在一些實施例中,第二接觸結構包含接觸插塞以及設置在接觸插塞上的阻障層,並且阻障層接觸第一對間隔物。
在一些實施例中,此半導體裝置更包含設置在第一源極/汲極區和第二源極/汲極區之間的淺溝槽隔離區;設置在淺溝槽隔離區上的層間介電層,其中層間介電層延伸到第一對間隔物和第二對間隔物的底表面下方;以及設置在淺溝槽隔離區之間的半圓形介電層。
在一些實施例中,此半導體裝置更包含設置在第一源極/汲極區和第二源極/汲極區之間的淺溝槽隔離區,其中第二接觸結構設置在淺溝槽隔離區中。
在一些實施例中,此半導體裝置更包含設置在第二對間隔物下方的第一介電層;設置在第二源極/汲極區下方的第二介電層;以及設置在第一介電層與第二介電層之間的氮化物層。
在一些實施例中,第一源極/汲極區的磊晶部分在第一對間隔物中的一個上方橫向延伸,並且磊晶部分的寬度小於第一對間隔物中的一個的寬度。
在一些實施例中,半導體裝置包含第一和第二奈米結構通道區、分別圍繞第一和第二奈米結構通道區的第一和第二閘極結構、設置在第一和第二奈米結構通道區之間的磊晶區、設置在磊晶區的相反側壁上的一對間隔物、以及設置在磊晶區上且介於一對間隔物之間的接觸結構。
在一些實施例中,此半導體裝置更包含設置在磊晶區的側壁上以及第一間隔物和第二間隔物的側壁上的介電層。
在一些實施例中,此半導體裝置更包含設置在第一間隔物和第二間隔物下方以及接觸結構的相反側壁上的淺溝槽隔離區。
在一些實施例中,磊晶區的一部分在第一間隔物上方橫向延伸,並且磊晶區的所述部分的寬度小於第一間隔物的寬度。
在一些實施例中,此半導體裝置更包含設置在接觸結構的第一側壁上的第一介電層;設置在接觸結構的第二側壁上的第二介電層;以及設置在第一介電層與第二介電層之間的氮化物層。
在一些實施例中,此半導體裝置更包含設置在接觸結構的側壁上以及第一閘極結構的底表面上的氮化物層。
在一些實施例中,方法包含在基板上形成鰭片結構,在鰭片結構的第一鰭片區上形成具有第一和第二奈米結構層的超晶格結構,在鰭片結構的相反側壁上形成第一和第二間隔物,在鰭片結構的第二鰭片區上以及第一和第二間隔物之間形成磊晶區,用導電層取代鰭片結構的第一部分,以及用介電層取代鰭片結構的第二部分。
在一些實施例中,用導電層取代鰭片結構的第一部分包含蝕刻磊晶區下方的鰭片結構的第一部分。
在一些實施例中,用導電層取代鰭片結構的第一部分包含蝕刻第一間隔物和第二間隔物之間的鰭片結構的第一部分。
在一些實施例中,用介電層取代鰭片結構的第二部分包含蝕刻閘極結構下方的鰭片結構的第二部分。
以上概述數個實施例的部件,使得本案所屬技術領域中具有通常知識者可以更加理解本發明實施例的多個面向。本案所屬技術領域中具有通常知識者應該理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與本文介紹的實施例相同的目的及/或優點。本案所屬技術領域中具有通常知識者也應該理解,此類等效的結構未悖離本發明實施例的精神與範圍,並且他們能在不違背本發明實施例的精神和範圍下,做各式各樣的改變、取代和調整。
100:場效電晶體 102,102A1,102A2,102A3,102B1,102B2,102B3:源極/汲極區 102b,336b:背側表面 102f:前側表面 102s:底部側壁 104:源極/汲極間隔物 106:奈米結構通道區 108:閘極結構 108A:界面氧化物層 108B:高介電常數閘極介電層 108C:導電層 110:外閘極間隔物 112:內閘極間隔物 114B:背側蝕刻停止層 114F:前側蝕刻停止層 116B:背側層間介電層 116F:前側層間介電層 118:淺溝槽隔離區 120:背側阻障層 122B:背側接觸結構 122F:前側接觸結構 124B,124F:矽化物層 126B,126F:接觸插塞 128B:擴散阻障層 130:背側介電層 132:背側電源導軌 200:方法 205,210,215,220,225,230,235,240,245,250:操作 304:間隔物材料層 304*:間隔物部分 306:奈米結構層 307:超晶格結構 308:多晶矽結構 334:基板 336A,336B:鰭片結構 502:源極/汲極開口 518:凹槽 1222:接觸件開口 1326,1328,1616,1620:層 1536:開口 A-A,B-B:線 D1,D2:橫向距離 D3:深度 D4:距離 H1:高度 T1:厚度 W1,W2,W3,W4,W5,W6,W7:寬度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的面向。 第1A圖根據一些實施例繪示具有背側電源導軌的半導體裝置的等角視圖。 第1B~1E圖根據一些實施例繪示具有背側接觸結構和背側電源導軌的半導體裝置的不同剖面圖。 第1F圖根據一些實施例繪示具有背側接觸結構和背側電源導軌的半導體裝置的上視圖。 第2圖是根據一些實施例之具有背側接觸結構和背側電源導軌的半導體裝置的製造方法的流程圖。 第3A~18A、3B~18B圖根據一些實施例繪示具有背側接觸結構和背側電源導軌的半導體裝置在其製造過程的各個階段的剖面圖。 現在將參照所附圖式描述說明性實施例。在圖式中,相似的圖式標記通常表示相同的、功能相似及/或結構相似的元件。
102A2,102B2:源極/汲極區
102b:背側表面
102f:前側表面
102s:底部側壁
104:源極/汲極間隔物
114B:背側蝕刻停止層
114F:前側蝕刻停止層
116B:背側層間介電層
116F:前側層間介電層
118:淺溝槽隔離區
120:背側阻障層
122B:背側接觸結構
122F:前側接觸結構
124B,124F:矽化物層
126B,126F:接觸插塞
128B:擴散阻障層
130:背側介電層
132:背側電源導軌
D1,D2:橫向距離
D3:深度
H1:高度
T1:厚度
W1,W2,W3,W7:寬度

Claims (20)

  1. 一種半導體裝置,包括: 一第一源極/汲極區和一第二源極/汲極區; 複數個奈米結構半導體層的一堆疊,設置為鄰近該第一源極/汲極區; 一閘極結構,至少部分地圍繞該些奈米結構半導體層中的每一個; 第一對間隔物,設置在該第一源極/汲極區的相反側壁上; 第二對間隔物,設置在該第二源極/汲極區的相反側壁上; 第三對間隔物,設置在該閘極結構的相反側壁上; 一第一接觸結構,設置在該第一源極/汲極區的一第一表面上;以及 一第二接觸結構,設置在該第一源極/汲極區的一第二表面上,其中該第一表面與該第二表面相對,且其中該第一對間隔物設置在該第二接觸結構的相反側壁上。
  2. 如請求項1之半導體裝置,更包括一介電層,設置在該第二源極/汲極區上,其中該第二對間隔物設置在該介電層的相反側壁上。
  3. 如請求項1之半導體裝置,其中該第一對間隔物和該第二對間隔物物理接觸該第三對間隔物,並且 其中該第一對間隔物和該第二對間隔物藉由一介電層相互隔開。
  4. 如請求項1之半導體裝置,更包括一介電層,設置在該第一源極/汲極區的該些相反側壁上以及該第一對間隔物的側壁上。
  5. 如請求項1之半導體裝置,更包括一介電層,設置在該第一源極/汲極區與該第二源極/汲極區之間,其中該第一對間隔物和該第二對間隔物設置在該介電層上。
  6. 如請求項1之半導體裝置,其中該第二接觸結構包括一接觸插塞以及設置在該接觸插塞上的一阻障層,並且 其中該阻障層接觸該第一對間隔物。
  7. 如請求項1之半導體裝置,更包括: 一淺溝槽隔離區,設置在該第一源極/汲極區和該第二源極/汲極區之間; 一層間介電層,設置在該淺溝槽隔離區上,其中該層間介電層延伸到該第一對間隔物和該第二對間隔物的底表面下方;以及 一半圓形介電層,設置在該淺溝槽隔離區之間。
  8. 如請求項1之半導體裝置,更包括一淺溝槽隔離區,設置在該第一源極/汲極區和該第二源極/汲極區之間,其中該第二接觸結構設置在該淺溝槽隔離區中。
  9. 如請求項1之半導體裝置,更包括: 一第一介電層,設置在該第二對間隔物下方; 一第二介電層,設置在該第二源極/汲極區下方;以及 一氮化物層,設置在該第一介電層與該第二介電層之間。
  10. 如請求項1之半導體裝置,其中該第一源極/汲極區的一磊晶部分在該第一對間隔物中的一個上方橫向延伸,並且 其中該磊晶部分的寬度小於該第一對間隔物中的該個的寬度。
  11. 一種半導體裝置,包括: 一第一奈米結構通道區和一第二奈米結構通道區; 一第一閘極結構和一第二閘極結構,分別至少部分地圍繞該第一奈米結構通道區和該第二奈米結構通道區; 一磊晶區,設置在該第一奈米結構通道區和該第二奈米結構通道區之間; 一第一間隔物和一第二間隔物,設置在該磊晶區的相反側壁上;以及 一接觸結構,設置在該磊晶區上且介於該第一間隔物與該第二間隔物之間。
  12. 如請求項11之半導體裝置,更包括一介電層,設置在該磊晶區的側壁上以及該第一間隔物和該第二間隔物的側壁上。
  13. 如請求項11之半導體裝置,更包括一淺溝槽隔離區,設置在該第一間隔物和該第二間隔物下方以及該接觸結構的相反側壁上。
  14. 如請求項11之半導體裝置,其中該磊晶區的一部分在該第一間隔物上方橫向延伸,並且 其中該磊晶區的該部分的寬度小於該第一間隔物的寬度。
  15. 如請求項11之半導體裝置,更包括: 一第一介電層,設置在該接觸結構的一第一側壁上; 一第二介電層,設置在該接觸結構的一第二側壁上;以及 一氮化物層,設置在該第一介電層與該第二介電層之間。
  16. 如請求項11之半導體裝置,更包括一氮化物層,設置在該接觸結構的側壁上以及該第一閘極結構的底表面上。
  17. 一種半導體裝置的製造方法,包括: 在一基板上形成一鰭片結構; 在該鰭片結構的一第一鰭片區上形成一超晶格結構,該超晶格結構包括一第一奈米結構層和一第二奈米結構層; 在該鰭片結構的相反側壁上形成一第一間隔物和一第二間隔物; 在該鰭片結構的一第二鰭片區上以及該第一間隔物與該第二間隔物之間形成一磊晶區; 用一閘極結構取代該第二奈米結構層; 用一導電層取代該鰭片結構的一第一部分;以及 用一介電層取代該鰭片結構的一第二部分。
  18. 如請求項17之半導體裝置的製造方法,其中用該導電層取代該鰭片結構的該第一部分包括蝕刻該磊晶區下方的該鰭片結構的該第一部分。
  19. 根據請求項17之半導體裝置的製造方法,其中用該導電層取代該鰭片結構的該第一部分包括蝕刻該第一間隔物和該第二間隔物之間的該鰭片結構的該第一部分。
  20. 根據請求項17之半導體裝置的製造方法,其中用該介電層取代該鰭片結構的該第二部分包括蝕刻該閘極結構下方的該鰭片結構的該第二部分。
TW112116770A 2022-05-16 2023-05-05 半導體裝置及其製造方法 TW202410152A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202263342464P 2022-05-16 2022-05-16
US63/342,464 2022-05-16
US202263404899P 2022-09-08 2022-09-08
US63/404,899 2022-09-08
US18/181,678 US20230369456A1 (en) 2022-05-16 2023-03-10 Spacer structures and contact structures in semiconductor devices
US18/181,678 2023-03-10

Publications (1)

Publication Number Publication Date
TW202410152A true TW202410152A (zh) 2024-03-01

Family

ID=88698352

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112116770A TW202410152A (zh) 2022-05-16 2023-05-05 半導體裝置及其製造方法

Country Status (2)

Country Link
US (1) US20230369456A1 (zh)
TW (1) TW202410152A (zh)

Also Published As

Publication number Publication date
US20230369456A1 (en) 2023-11-16

Similar Documents

Publication Publication Date Title
US11251090B2 (en) Dual channel gate all around transistor device and fabrication methods thereof
US11804486B2 (en) Backside power rail and methods of forming the same
US11996483B2 (en) FET with wrap-around silicide and fabrication methods thereof
US11948936B2 (en) Forming ESD devices using multi-gate compatible processess
CN113380706B (zh) 具有前侧和后侧的半导体结构及其形成方法
US11996461B2 (en) Backside gate contact
TW202205393A (zh) 半導體裝置的製造方法
JP2022027723A (ja) 空隙スペーサ及びバックサイドレールコンタクトを備えたマルチゲート装置及びその製造方法
TWI814272B (zh) 半導體元件及其形成方法
TW202333207A (zh) 半導體裝置及其形成方法
US20220285512A1 (en) Semiconductor Device With Gate Isolation Features And Fabrication Method Of The Same
US11978773B2 (en) Formation method of semiconductor device structure with semiconductor nanostructures
KR20220154598A (ko) 반도체 디바이스 및 제조 방법
TW202410152A (zh) 半導體裝置及其製造方法
TW202217976A (zh) 半導體裝置、其形成方法及半導體結構
CN116741834A (zh) 半导体器件及其制造方法
US20230343699A1 (en) Field effect transistor with source/drain via and method
KR102618415B1 (ko) 트랜지스터 소스/드레인 접촉부 및 그 형성 방법
US20220336611A1 (en) Air inner spacers
TWI847033B (zh) 半導體結構及其形成方法
TWI778692B (zh) 半導體裝置及其形成方法
US11942478B2 (en) Semiconductor device structure and methods of forming the same
US20230402536A1 (en) Field effect transistor with gate isolation structure and method
US20240145566A1 (en) Structure and Method for Gate-All-Around Devices with Dielectric Interposer
TW202420440A (zh) 半導體結構及其形成方法