TW202349590A - 積體電路封裝的形成方法 - Google Patents

積體電路封裝的形成方法 Download PDF

Info

Publication number
TW202349590A
TW202349590A TW112110675A TW112110675A TW202349590A TW 202349590 A TW202349590 A TW 202349590A TW 112110675 A TW112110675 A TW 112110675A TW 112110675 A TW112110675 A TW 112110675A TW 202349590 A TW202349590 A TW 202349590A
Authority
TW
Taiwan
Prior art keywords
integrated circuit
carrier
substrate
package
forming
Prior art date
Application number
TW112110675A
Other languages
English (en)
Inventor
曹佩華
郭建利
劉國洲
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202349590A publication Critical patent/TW202349590A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/6006Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting with temporary supporting member not part of an apparatus, e.g. removable coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Wire Bonding (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Structures For Mounting Electric Components On Printed Circuit Boards (AREA)

Abstract

積體電路封裝的形成方法包括:貼合第一載板至封裝構件,且封裝構件包括:中介層;第一半導體晶粒,貼合至中介層的第一側;第二半導體晶粒,貼合至中介層的第一側;密封劑,密封第一半導體晶粒與第二半導體晶粒;以及多個導電連接物,貼合至中介層的第二側;貼合第二載板至封裝基板,封裝基板包括多個接合墊;當第一載板貼合至封裝構件且第二載板貼合至封裝基板時,使導電連接物再流動以接合封裝構件的導電連接物至封裝基板的接合墊;移除第一載板;以及移除第二載板。

Description

積體電路封裝的形成方法
本發明實施例關於積體電路封裝的形成方法,更特別關於採用載板以減少產品平坦度差異與高度差異的方法。
由於多種電子構件(如電晶體、二極體、電阻、電容器、或類似物)的積體密度持續改良,半導體產業已經歷快速成長。積體密度的改良主要來自於重複縮小最小結構尺寸,以將更多構件整合至給定面積中。隨著縮小的電子裝置的需求成長,更小且更創造性的半導體晶粒封裝技術的趨勢出現。
在一實施例中,積體電路封裝的形成方法包括:貼合第一載板至封裝構件,且封裝構件包括:中介層;第一半導體晶粒,貼合至中介層的第一側;第二半導體晶粒,貼合至中介層的第一側;密封劑,密封第一半導體晶粒與第二半導體晶粒;以及多個導電連接物,貼合至中介層的第二側;貼合第二載板至封裝基板,封裝基板包括多個接合墊;當第一載板貼合至封裝構件且第二載板貼合至封裝基板時,使導電連接物再流動以接合封裝構件的導電連接物至封裝基板的接合墊;移除第一載板;以及移除第二載板。
在一實施例中,積體電路封裝的形成方法包括:施加第一助焊劑至封裝構件的多個導電連接物,封裝構件貼合至封裝載板,且封裝構件包括:中介層;第一半導體晶粒,接合至中介層;以及第二半導體晶粒,接合至中介層且與第一半導體晶粒橫向相鄰;施加第二助焊劑至封裝基板的多個接合墊,且封裝基板貼合至基板載板;對準導電連接物與接合墊;以及使導電連接物再流動,其中使導電連接物再流動之後的導電連接物的高度差異小於或等於10微米。
在一實施例中,積體電路封裝的形成方法包括:貼合多個半導體晶粒至中介層的第一側;形成密封劑於半導體晶粒周圍;貼合第一載板於半導體晶粒與密封劑上;薄化中介層的第二側以露出導電通孔;形成多個導電連接物於導電通孔上;接合導電連接物至封裝基板的多個接合墊,且在接合時的封裝基板貼合至第二載板,其中接合導電連接物之後的導電連接物具有第一高度差異;以及移除第一載板與第二載板,其中移除第一載板與第二載板之後的導電連接物具有第二高度差異,且第二高度差異大於第一高度差異。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90度或其他角度,因此方向性用語僅用以說明圖示中的方向。
在多種實施例中,接合封裝構件至封裝基板以組裝積體電路封裝。形成封裝構件的方法可包括一或多道貼合積體電路裝置到晶圓(如中介層)的步驟,以及埋置積體電路裝置於密封劑中的步驟。積體電路裝置、密封劑、與晶圓可具有不同的熱膨脹係數,因此在熱製程(如後續接合封裝構件置封裝基板)時,封裝構件可能更容易翹曲或彎曲。在接合時,封裝構件貼合至第一載板,而封裝基板貼合至第二載板。貼合封裝構件至第一載板以及貼合封裝基板至第二載板,有助於在接合製程時減少封裝構件與封裝基板中的翹曲。在接合製程之後可移除第一載板與第二載板,使積體電路封裝的構件(如封裝構件與封裝基板)的翹曲或彎曲最小化。如此一來,積體電路封裝的組裝可增加良率,且可改善效能與可信度。
圖1係例示性的積體電路晶粒50的剖視圖。後續製程可封裝多個積體電路晶粒50 (如圖4所示的積體電路裝置80)以形成封裝構件150,且可進一步組裝積體電路晶粒50成積體電路封裝250。積體電路晶粒50可各自為邏輯晶粒(如中央處理器、圖形處理器、微控制器、或類似物)、記憶體晶粒(如動態隨機存取記憶體晶粒、靜態隨機存取記憶體晶粒、或類似物)、電源管理晶粒(如電源管理積體電路晶粒)、射頻晶粒、界面晶粒、感測晶粒、微機電系統晶粒、訊號處理晶粒(如數位訊號處理晶粒)、前端晶粒(如類比前端晶粒)、類似物、或上述之組合(如單晶片系統晶粒)。積體電路晶粒50可形成於晶圓中。晶圓可包括不同晶粒區且可切割於後續步驟中,以形成多個積體電路晶粒50。積體電路晶粒50包括半導體基板52、內連線結構54、晶粒連接物56、與介電層58。
半導體基板52可為摻雜或未摻雜的矽基板,或絕緣層上半導體基板的主動層如矽或矽鍺。半導體基板52可包括其他半導體材料(如鍺)、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。亦可採用其他基板如多層基板或組成漸變基板。半導體基板52具有主動表面(如圖1中面向上方的表面)與非主動表面(如圖1中面向下方的表面)。裝置位於半導體基板52的主動表面。裝置可為主動裝置(如電晶體、二極體、或類似物)、電容器、電阻、或類似物。非主動表面可不具有裝置。
內連線結構54位於半導體基板52的主動表面上,用於電性連接半導體基板52的裝置以形成積體電路。內連線結構54可包括一或多個介電層以及個別的金屬化層位於介電層中。介電層所用的可接受介電材料包括氧化物如氧化矽或氧化鋁、氮化物如氮化矽、碳化物如碳化矽、類似物、或上述之組合如氮氧化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、或類似物。亦可採用其他介電材料如聚合物,比如聚苯并噁唑、聚醯亞胺、苯并環丁烯為主的聚合物、或類似物。金屬化層可包括導電通孔及/或導電線路,以內連線半導體基板52的裝置。金屬化層的組成可為導電材料如金屬,比如銅、鈷、鋁、金、上述之組合、或類似物。內連線結構54的形成方法可為鑲嵌製程,比如單鑲嵌製程、雙鑲嵌製程、或類似製程。
晶粒連接物56位於積體電路晶粒50的前側50F。晶粒連接物56可為導電柱、墊、或類似物,以連接至外部連接物。晶粒連接物56位於內連線結構54之中及/或之上。舉例來說,晶粒連接物56可為內連線結構54的上側金屬化層的部分。晶粒連接物56的組成可為金屬如銅、鋁、或類似物,且其形成方法可為電鍍或類似方法。
在形成積體電路晶粒50時,焊料區(未圖示)可視情況位於晶粒連接物56上。焊料區可用於在積體電路晶粒50上進行晶片探針測試。舉例來說,焊料區可為焊料球、焊料凸塊、或類似物,其可用於貼合晶片探針至晶粒連接物56。可在積體電路晶粒50上進行晶片探針測試,以確認積體電路晶粒50是否為已知良好晶粒。因此只有已知良好晶粒的積體電路晶粒50可進行後續的製程與封裝,而晶片探針測試出的非良好晶粒則不封裝。在測試之後,後續製程可移除焊料區。
介電層58位於積體電路晶粒50的前側50F。介電層58位於內連線結構54之中及/或之上。舉例來說,介電層58可為內連線結構54的上側介電層。介電層58可橫向圍繞晶粒連接物56。介電層58可為氧化物、氮化物、碳化物、聚合物、類似物、或上述之組合。舉例來說,介電層58的形成方法可為旋轉塗佈、壓合、化學氣相沉積、或類似方法。介電層58一開始可埋置晶粒連接物56,使介電層58的上表面高於晶粒連接物56的上表面。在形成積體電路晶粒50時,可自介電層58露出晶粒連接物56。使晶粒連接物56露出的步驟,可為移除存在於晶粒連接物56上的任何焊料區。可對多種層施加移除製程,以移除晶粒連接物56上的多餘材料。移除製程可為平坦化製程如化學機械研磨、回蝕刻、上述之組合、或類似製程。在平坦化製程之後,晶粒連接物56與介電層58的上表面實質上共平面(在製程變數中),且可自積體電路晶粒50的前側50F露出。
圖2A及2B係一些實施例中,晶粒堆疊60A及60B的剖視圖。晶粒堆疊60A及60B可各自具有單一功能(如邏輯裝置、記憶體晶粒、或類似物)或多重功能。在一些實施例中,晶粒堆疊60A為邏輯裝置如積體晶片上系統裝置,而晶粒堆疊60B為記憶體裝置如高帶寬記憶體裝置。
如圖2A所示,晶粒堆疊60A包括兩個接合的積體電路晶粒50 (如第一積體電路晶粒50A與第二積體電路晶粒50B)。在一些實施例中,第一積體電路晶粒50A為邏輯晶粒,而第二積體電路晶粒50B為界面晶粒。界面晶粒橋接邏輯晶粒至記憶體晶粒,且可傳輸指令於邏輯晶粒與記憶體晶粒之間。在一些實施例中,接合第一積體電路晶粒50A與第二積體電路晶粒50B,使主動表面彼此面對(如面對面的接合)。導電通孔62可穿過積體電路晶粒50之一,使晶粒堆疊60A可連接至外部連接物。導電通孔62可為穿基板通孔,比如穿矽通孔或類似物。在所示的實施例中,導電通孔62形成於第二積體電路晶粒50B (如界面晶粒)中。導電通孔62延伸穿過個別的積體電路晶粒50的半導體基板52,以物理與電性連接至內連線結構54的金屬化層。
如圖2B所示,晶粒堆疊60B可為堆疊的裝置,其可包括多個半導體基板52。舉例來說,晶粒堆疊60B可為記憶體裝置,其包括多個記憶體晶粒如混合記憶體立方體裝置、高帶寬記憶體裝置、或類似物。半導體基板52可(或可不)各自具有分開的內連線結構54。可由導電通孔62連接半導體基板52。
圖3至9係一些實施例中,製造封裝構件150的中間階段的剖視圖。具體而言,封裝構件150的形成方法可為接合積體電路裝置80至晶圓70。圖10至13係接合封裝構件150至封裝基板200,以作為積體電路封裝250的組裝的部分的中間階段的剖視圖。在一實施例中,積體電路封裝250為基板上晶圓上晶片封裝,其中封裝構件150為晶圓上晶片構件,而封裝基板200為基板。然而應理解實施例可實施於其他三維積體電路封裝如單晶片系統封裝。
在圖3中,接收或形成晶圓70。晶圓70具有一或多個封裝區70A,其各自包括裝置形成其中。在後續製程中,一或多個積體電路裝置80 (見圖4)貼合至封裝區70A以形成封裝構件150,其可切割為各自包含晶圓70的封裝區70A與積體電路裝置80。
處理晶圓70的一個封裝區70A的步驟如圖所示。應理解可同時處理與切割任何數目的晶圓70的封裝區70A,以自晶圓70的切割部分形成多個封裝構件150。
晶圓70包括裝置於每一封裝區70A中,其可切割於後續製程並包含於封裝構件150中。舉例來說,晶圓70可包括裝置如中介層、積體電路晶粒(未圖示)、或類似物。在一些實施例中,中介層110形成於晶圓70中,其可包括基板72、內連線結構74、與導電通孔76。
基板72可為基底半導體基板、絕緣層上半導體基板、多層半導體基板、或類似物。基板72可包括半導體材料(如矽或鍺)、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。亦可採用其他基板如多層基板或組成漸變基板。基板72可摻雜或未摻雜。在中介層形成於晶圓70中的實施例中,基板72通常不含主動裝置於其中,雖然中介層可包括被動裝置形成於基板72的前表面(如圖3中面向上方的表面)之中及/或之上。在積體電路裝置形成於晶圓70中的實施例中,主動裝置如電晶體、電容器、電阻、二極體、或類似物可形成於基板72的前表面之中及/或之上。
內連線結構74位於基板72的前表面上,用於電性連接基板72的裝置(若存在)。內連線結構74可包括一或多個介電層以及個別的金屬化層位於介電層中。介電層所用的可接受介電材料包括氧化物如氧化矽或氧化鋁、氮化物如氮化矽、碳化物如碳化矽、類似物、或上述之組合如氮氧化矽、碳氧化矽、碳氮化矽、碳氮氧化矽、或類似物。亦可採用其他介電材料如聚合物,比如聚苯并噁唑、聚醯亞胺、苯并環丁烯為主的聚合物、或類似物。金屬化層可包括導電通孔及/或導電線路,以內連線任何裝置在一起及/或內連線至外部裝置。金屬化層的組成可為導電材料如金屬,比如銅、鈷、鋁、金、上述之組合、或類似物。內連線結構74的形成方法可為鑲嵌製程,比如單鑲嵌製程、雙鑲嵌製程、或類似製程。
在一些實施例中,晶粒連接物與介電層(未圖示)位於晶圓70的前側70F。具體而言,晶圓70可包括晶粒連接物與介電層,其可與上述的積體電路晶粒50中的晶粒連接物與介電層類似。舉例來說,晶粒連接物與介電層可為內連線結構74的上側金屬化層的部分。
導電通孔76延伸至內連線結構74及/或基板72中。導電通孔76電性連接至內連線結構74的金屬化層。導電通孔76有時可視作穿通孔如穿基板通孔。舉例來說,形成導電通孔76的方法可為形成凹陷於內連線結構74及/或基板72中,其形成方法可為蝕刻、研磨、雷射技術、上述之組合、及/或類似方法。薄阻障層可順應性沉積於凹陷中,比如經由化學氣相沉積、原子層沉積、物理氣相沉積、熱氧化、上述之組合、及/或類似方法。阻障層的組成可為氧化物、氮化物、碳化物、上述之組合、或類似物。導電材料可沉積於阻障層之上與凹陷之中。導電材料的形成方法可為電化學鍍製程、化學氣相沉積、原子層沉積、物理氣相沉積、上述之組合、及/或類似方法。導電材料的例子可為銅、鎢、鋁、銀、金、上述之組合、及/或類似物。可自內連線結構74或基板72的表面移除多餘的導電材料與阻障層,且移除方法可為化學機械研磨。阻障層與導電材料的保留部分可形成導電通孔76。
在圖4中,可接收或形成積體電路裝置80 (如第一積體電路裝置80A與多個第二積體電路裝置80B)。積體電路裝置80可為積體電路晶粒50 (見圖1)或積體電路晶粒50的晶粒堆疊60 (見圖2A及2B)的實施例。如此一來,積體電路裝置80可包括上述積體電路晶粒50與晶粒堆疊60的結構,即使一些結構在圖式中不具有積體電路裝置80。積體電路裝置80可貼合至晶圓70。舉例來說,可貼合所需種類與數量的積體電路裝置80於晶圓70的封裝區70A中。
在一些實施例中,第一積體電路裝置80A與第二積體電路裝置80B可為不同種類的裝置且具有不同功能。第一積體電路裝置80A可為邏輯裝置如中央處理器、圖形處理器、單晶片系統、微處理器、或類似物。第一積體電路裝置80A可為積體電路晶粒(如圖1所示的積體電路晶粒50)或晶粒堆疊(如圖2A所示的晶粒堆疊60A)。第二積體電路裝置80B可包括記憶體裝置如動態隨機存取記憶體晶粒、靜態隨機存取記憶體晶粒、混合記憶體晶粒模組、高帶寬記憶體模組、類似物、或上述之組合。第二積體電路裝置80B可為積體電路晶粒(如圖1所示的積體電路晶粒50)或晶粒堆疊(如圖2B所示的晶粒堆疊60B)。第一積體電路裝置80A與第二積體電路裝置80B可形成於相同技術節點的製程中,或形成於不同技術節點的製程中。舉例來說,第一積體電路裝置80A的製程節點可比第二積體電路裝置80B的製程節點先進。
在圖5中,以焊料接合如導電連接物82將積體電路裝置80貼合至晶圓70。積體電路裝置80置於內連線結構74上的步驟可採用取放工具。導電連接物82的組成可為可再流動的導電材料,比如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物、或上述之組合。在一些實施例中,導電連接物82的形成方法可先形成焊料層,比如經由蒸鍍、電鍍、印刷、焊料轉移、放置球、或類似方法。一旦形成焊料層於結構上,可進行再流動使導電連接物82成形為所需的凸塊形狀。貼合積體電路裝置80至晶圓70的方法可包括將積體電路裝置80置於晶圓70上,並再流動導電連接物82。導電連接物82形成接面於晶圓70與積體電路裝置80的對應晶粒連接物之間,以電性連接中介層110至積體電路裝置80。
底填層84可形成於導電連接物82周圍以及晶圓70與積體電路裝置80之間。底填層84可減少應力並保護再流動導電連接物82所形成的接面。底填層84的組成可為底填材料如成型化合物、環氧化合物、或類似物。可在積體電路裝置80貼合至晶圓70之後以毛細流動製程形成底填層84,或在積體電路裝置80貼合至晶圓70之前以合適的沉積方法形成底填層84。可施加液態或半液態的底填層84,之後使其固化。
在其他實施例中(未圖示),以直接接合法將積體電路裝置80貼合至晶圓70,其可與上述晶粒堆疊60的相關說明類似。舉例來說,可採用混合接合、熔融接合、介電接合、金屬接合、或類似方法以直接接合晶圓70與積體電路裝置80的對應介電層及/或晶粒連接物,而不採用黏著劑或焊料。在採用直接接合時可省略底填層84。此外,可採用混合的接合技術,比如以焊料接合貼合一些積體電路裝置80至晶圓70,並以直接接合貼合其他的積體電路裝置80至晶圓70。
在圖6中,密封劑96形成於多種構件之上與周圍。在形成密封劑96之後,其可密封積體電路裝置80與底填層84 (若存在)。密封劑96可為成型化合物、環氧化合物、或類似物。密封劑96的施加方法可為壓縮成型、轉移成型、或類似方法,且可形成於晶圓70上以埋置或覆蓋積體電路裝置80。密封劑96更形成於積體電路裝置80之間的間隙區中。可施加液態或半液態的密封劑96,接著使其固化。
在一些實施例中,薄化密封劑96以露出第一積體電路裝置80A與第二積體電路裝置80B。薄化製程可為研磨製程、化學機械研磨、回蝕刻、上述之組合、或類似製程。薄化製程之後,第一積體電路裝置80A、第二積體電路裝置80B、與密封劑96的上表面可實質上共平面(在製程變數中)。可進行薄化直到移除所需量的第一積體電路裝置80A、第二積體電路裝置80B、與密封劑96。
在圖7中,可翻轉封裝構件150 (未圖示)以準備對晶圓70的背側70B進行製程。接著貼合封裝構件150至作為支撐結構的封裝載板130,以在後續製程步驟(如貼合封裝構件150至封裝基板200,見圖10至13)時減少封裝構件150的翹曲與膨脹。舉例來說,封裝載板130可由離型層132貼合至封裝構件150而與積體電路裝置80與密封劑96相鄰。離型層132的組成可為聚合物為主的材料,且在製程之後可自封裝構件150一起移除離型層132與封裝載板130。在一些實施例中,封裝載板130為基板如積體半導體或玻璃基板。在一些實施例中,離型層132為雷射及/或熱離型材料,其於照射特定波長的光及/或加熱時失去其黏著特性,比如光熱轉換離型塗層。舉例來說,離型層132可包括環氧化合物、聚醯亞胺、丙烯酸、或類似物於醋酸酯及/或醇類溶劑中,或其他合適材料。舉例來說,離型層132的組成可為丙烯酸於溶劑(1-甲氧基-2-丙基醋酸酯與2-丁氧基乙醇)中的混合物,如3M TM為光熱轉換特性所製造。在一些實施例中(未圖示),採用真空或壓力密封而非離型層132,使封裝構件150靠向封裝載板130。
如上所述,封裝構件150的單元的熱膨脹係數不同,可能在後續製程步驟時造成翹曲。在一些實施例中,第一積體電路裝置80A的有效熱膨脹係數可為3 ppm/K至10 ppm/K,第二積體電路裝置80B的有效熱膨脹係數可為5 ppm/K至15 ppm/K,密封劑96的有效熱膨脹係數可為10 ppm/K至50 ppm/K,而晶圓70的有效熱膨脹係數可為2 ppm/K至10 ppm/K。舉例來說,可選擇封裝載板130,使其有效熱膨脹係數在封裝構件150的這些單元的熱膨脹係數的範圍中。一些實施例選擇封裝載板130,使其有效熱膨脹係數在封裝構件150的有效熱膨脹係數+/- 5%至30%之內。在一些實施例中,封裝載板130的熱膨脹係數大於封裝構件150的有效熱膨脹係數。
在圖8中,薄化基板72以露出導電通孔76。露出導電通孔76的方法可為薄化製程如研磨製程、化學機械研磨、回蝕刻、上述之組合、或類似製程。在所述實施例中,進行凹陷製程使基板72的背表面凹陷,因此導電通孔76凸起於晶圓70的背側70B。凹陷製程可為合適的回蝕刻製程、化學機械研磨、或類似製程。在一些實施例中,露出導電通孔76所用的薄化製程包括化學機械研磨,且化學機械研磨時發生的碟化可造成導電通孔76凸起於晶圓70的背側70B。可視情況形成絕緣層102於基板72的背表面上,以圍繞導電通孔76的凸起部分。在一些實施例中,絕緣層102的組成為韓矽絕緣層如氮化矽、氧化矽、氮氧化矽、或類似物,且其形成方法可為合適的沉積方法如旋轉塗佈、化學氣相沉積、電漿輔助化學氣相沉積、高密度電漿化學氣相沉積、或類似方法。絕緣層102一開始可埋置導電通孔76。可對多種層狀物進行移除製程,以移除導電通孔76上的多餘材料。移除製程可為平坦化製程如化學機械研磨、回蝕刻、上述之組合、或類似製程。在平坦化之後,導電通孔76與絕緣層102的露出表面實質上共平面(在製程變數中),且暴露於晶圓70的背側70B。一些實施例省略絕緣層102,且基板72與導電通孔76的露出表面實質上共平面(在製程變數中)。
在圖9中,凸塊下金屬化層104形成於導電通孔76與絕緣層102 (或基板72,若省略絕緣層102)的露出表面上。舉例來說,為了形成凸塊下金屬化層104,可形成晶種層(未圖示)於導電通孔76與絕緣層102 (若存在)或基板72的露出表面上。在一些實施例中,晶種層為金屬層,其可為單層或具有不同材料所形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層與銅層位於鈦層上。舉例來說,晶種層的形成方法可採用物理氣相沉積或類似方法。接著可形成與圖案化光阻層於晶種層上。光阻層的形成方法可為旋轉塗佈或類似方法。可曝光光阻層至光以圖案化光阻層。光阻圖案對應凸塊下金屬化層104。圖案化光阻以形成開口穿過光阻,並露出晶種層。接著形成導電材料於光阻開口中以及晶種層的露出部分上。導電材料的形成方法可為電鍍,比如電鍍、無電鍍、或類似方法。導電材料可包括金屬如銅、鈦、鎢、鋁、或類似物。接著移除光阻與無導電材料形成其上的晶種層的部分。光阻的移除方法可為可接受的灰化或剝除製程,比如採用氧電漿或類似物。一旦移除光阻即可移除晶種層的露出部分,比如採用可接受的蝕刻製程。晶種層與導電材料的保留部分可形成凸塊下金屬化層104。
此外,導電連接物106形成於凸塊下金屬化層104上。導電連接物106可為球格陣列連接物、焊料球、金屬柱、控制塌陷晶片連接凸塊、微凸塊、無電鎳-無電鈀-浸潤金技術所形成的凸塊、或類似物。導電連接物106的組成為可再流動的導電材料如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似物、或上述之組合。在一些實施例中,導電連接物106的形成方法可為先形成焊料層,其形成方法可為蒸鍍、電鍍、印刷、焊料轉移、放置球狀物、或類似方法。一旦形成焊料層於結構上,即可進行再流動使材料成形為所需的凸塊形狀。在另一實施例中,導電連接物106可包括金屬柱(如銅柱),其形成方法可為濺鍍、印刷、電鍍、無電鍍、化學氣相沉積、或類似物。金屬柱可無焊料,且可具有實質上垂直的側壁。在一些實施例中,金屬蓋層形成於金屬柱的頂部上。金屬蓋層可包括鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金、類似物、或上述之組合,且其形成方法可為電鍍製程。
可視情況進行切割製程以切割封裝構件150。舉例來說,可沿著封裝區70A周圍的切割線區進行切割製程。切割製程可包括切開、分割、或類似製程。切割製程可包括切割絕緣層102、密封劑96、內連線結構74、與基板72。在一些實施例中,切割製程亦包括切穿封裝載板130與離型層132。切割製程可自相鄰的封裝區分割封裝區70A,以自封裝區70A形成切割的封裝構件150。切割製程可自晶圓70的切割部分形成中介層110。切割製程可使中介層110與密封劑96的外側側壁實質上橫向相鄰(在製程變數中)。
圖10至13顯示貼合封裝構件150至封裝基板200所用的接合製程,其為積體電路封裝250的組裝的一部分。在接合之前,封裝構件150暫時貼合至封裝載板130,而封裝基板200暫時貼合至基板載板220。接著進行其餘的接合製程,而每一構件更牢固地位於個別載板中。
在圖10中,可施加助焊劑126至封裝構件150的導電連接物106。可施加助焊劑126至與導電連接物106相鄰的凸塊下金屬化層104的部分。在一些實施例中,施加助焊劑126的方法為助焊劑浸入製程,其中封裝構件150的導電連接物106浸入含有液態助焊劑126的容器。施加助焊劑126的方法亦可採用噴墨、噴塗、刷塗、注射、原子化助焊劑126至導電連接物106上、或另一施加製程。舉例來說,助焊劑126可為環氧化合物、聚醯亞胺、或其他合適材料。在一些實施例中,助焊劑126可作為化學清潔劑,其於焊料再流動製程之前或之中,有助於避免導電連接物106與凸塊下金屬化層104氧化,或移除導電連接物106與凸塊下金屬化層104的氧化物。助焊劑126所用的助焊劑材料可分為三個種類:松香助焊劑、水溶助焊劑、與不需清理的助焊劑。松香助焊劑可為弱酸性且在低溫下(如60˚C至70˚C)軟化,且在高溫下(如高於100˚C)變成液體。水溶性助焊劑的組成可為高腐蝕性材料。不需清理的助焊劑的組成材料,在接合製程之後不需移除。在一些實施例中,助焊劑126包括多種酸懸浮於醇類基底中的懸浮液體。如圖所示,助焊劑126可形成層狀物於每一導電連接物106周圍。在一些實施例中,助焊劑126的層狀物亦可圍繞一些凸塊下金屬化層104的部分。
在圖11中,提供封裝基板200以貼合至基板載板220。可提供已貼合至基板載板220的封裝基板200。在一些實施例中,封裝基板200暫時接合至基板載板220,且後續接合封裝構件150 (貼合至封裝載板130)至封裝基板200以形成積體電路封裝250時可存在基板載板220,以減少封裝基板200及/或封裝構件150中可能發生的翹曲或膨脹。
封裝基板200包括基板核心202,其組成可為半導體材料如矽、鍺、鑽石、或類似物。亦可改用化合物材料如矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、碳化矽鍺、磷砷化鎵、磷化鎵銦、上述之組合、或類似物。此外,基板核心202可為絕緣層上半導體基板。一般而言,絕緣層上半導體基板包括半導體材料層如磊晶矽、鍺、矽鍺、絕緣層上矽、或上述之組合。在一實施例中,基板核心202可為絕緣核心如玻璃纖維強化樹脂核心。核心材料的一例為玻璃纖維樹脂如FR4。其他核心材料可包括雙馬來醯亞胺-三嗪樹脂,或改為其他印刷電路板材料或膜。積層膜如味之素積層膜或其他積層可用於基板核心202。
基板核心202可包括主動裝置與被動裝置(未圖示)。裝置如電晶體、電容器、電阻、上述之組合、或類似物可用於產生系統設計所需的結構與功能。裝置的形成方法可採用任何合適方法。
基板核心202亦可包括金屬化層與通孔(未圖示),以及接合墊204位於金屬化層與通孔上。金屬化層可形成於主動裝置與被動裝置上,且可設計以連接多種裝置而形成功能電路。金屬化層可為交錯的介電材料層(如低介電常數的介電材料)與導電材料層(如銅),其具有通孔內連線導電材料層,且可由任何合適製程(如沉積、鑲嵌、雙鑲嵌、或類似製程)形成。在一些實施例中,基板核心202實質上不具有主動與被動裝置。
基板載板220可由沿著封裝基板200的離型層貼合至封裝基板200。離型層222的組成可為聚合物為主的材料,在製程之後可自封裝基板200一起移除基板載板220與離型層222。在一些實施例中,基板載板220為基板如基體半導體或玻璃基板。在一些實施例中,離型層222為雷射及/或熱離型材料,其於照射特定波長的光及/或加熱時失去其黏著特性,比如光熱轉換離型塗層。舉例來說,離型層222可包括環氧化合物、聚醯亞胺、丙烯酸、或類似物於醋酸酯及/或醇類溶劑中,或其他合適材料。舉例來說,離型層222的組成可為丙烯酸於溶劑(1-甲氧基-2-丙基醋酸酯與2-丁氧基乙醇)中的混合物,如3M TM為光熱轉換特性所製造。
如上所述,封裝基板200與封裝構件150的單元(如積體電路裝置80、密封劑96、與晶圓70)之間的熱膨脹係數不同,在接合製程時可能造成翹曲。在一些實施例中,封裝基板200的有效熱膨脹係數為12 ppm/K至20 ppm/K。舉例來說,可選擇基板載板220,使其有效熱膨脹係數在封裝基板200的熱膨脹係數的範圍之內。一些實施例選擇基板載板220,使其有效熱膨脹係數在封裝基板200的有效熱膨脹係數+/- 10%至40%的範圍之內。其他實施例選擇基板載板220,使其熱膨脹係數在封裝載板130的熱膨脹係數+/- 5%之內。基板載板220與封裝載板130的熱膨脹係數可相同、類似、或不同。基板載板220的熱膨脹係數可在封裝載板130的熱膨脹係數+/- 50%之內。在一些實施例中,封裝基板200的有效熱膨脹係數大於基板載板220的熱膨脹係數,而基板載板的熱膨脹係數可與封裝載板130的熱膨脹係數類似(比如大於封裝構件150的有效熱膨脹係數)。
如圖11所示,可施加助焊劑226於封裝基板200的接合墊204上。在一些實施例中,可採用噴墨、噴塗、或另一施加製程(比如搭配助焊劑126說明的任何上述施加製程),以施加助焊劑226至封裝基板200的露出表面(如接合墊204)上。舉例來說,助焊劑226可為搭配助焊劑126說明的任何上述材料,且助焊劑226與助焊劑126的材料可相同或不同。在一些實施例中,助焊劑226可作為化學清潔劑,有助於在焊料再流動製程之前與之中避免氧化接合墊204、凸塊下金屬化層104、與導電連接物106,或自接合墊204、凸塊下金屬化層104、與導電連接物106移除氧化物(如原生氧化物)。在一些實施例中,減少或避免這些氧化部分可改善導電連接物106與接合墊204的再流動,以改善導電連接物106與接合墊204之間的混合與接合。助焊劑226的厚度可為1微米至1000微米。如圖所示,助焊劑226可形成連續區於接合墊204與基板核心202上。雖然圖式中的封裝基板200的中心區上的助焊劑226的厚度大於周邊區上的助焊劑226的厚度,一些實施例中的助焊劑在中心區與周邊區上可具有相同或一致的厚度。在一些實施例中(未圖示),助焊劑226可形成於一或多個接合墊204上的不連續區中。此外在一些實施例中(未圖示),只施加助焊劑126與助焊劑226之一者至封裝構件150或封裝基板200。
在圖12中,封裝構件150接合至封裝基板200。在一些實施例中,接合封裝構件150至封裝基板200的方法包括將封裝構件150置於封裝基板200上的所需位置中,進行熱製程與冷卻製程以再流動並再固化導電連接物106的焊料,適情況自結構沖洗助焊劑126與助焊劑226,並形成底填層206於導電連接物106周圍。
舉例來說,可將封裝構件150置於封裝基板200上,且封裝構件150的導電連接物106對準封裝基板200的對應接合墊204。可採用取放工具將封裝構件150置於封裝基板200上。封裝構件150的導電連接物106上的助焊劑126與封裝基板200的接合墊204上的助焊劑226有助於維持封裝構件150的位置。如此一來,可更精確地調整封裝基板200上的封裝構件150的位置與方向。
接著使導電連接物106如焊料再流動,以貼合凸塊下金屬化層104至接合墊204。如上所述,助焊劑126及/或助焊劑226在再流動製程時,可維持封裝構件150位於封裝基板200上。導電連接物106連接封裝構件150 (含有內連線結構74的金屬化層)至封裝基板200 (含有基板核心202中的金屬化層)。因此封裝基板200電性連接至積體電路裝置80。一些實施例在封裝構件150嵌置到封裝基板200上之前,被動裝置(如表面嵌置裝置,未圖示)可貼合至封裝構件150 (如貼合至凸塊下金屬化層104)。在這些實施例中,被動裝置可與導電連接物106貼合至封裝構件150的相同表面。在一些實施例中,被動裝置(如表面嵌置裝置,未圖示)可貼合至封裝基板200 (如貼合至接合墊204)。
為了使導電連接物106的材料如焊料再流動,可加熱封裝構件150與封裝基板200至合適溫度以再流動導電連接物106的材料。在一些實施例中,加熱導電連接物106到220˚C至260˚C並歷時0.01秒至5分鐘。一旦進行再流動,導電連接物106可與接合墊204形成較大的物理接觸面積。之後可冷卻結構至適當溫度,以再固化導電連接物106。在一些實施例中,導電連接物106可冷卻至小於或等於180˚C。可視情況採用水及/或其他合適的化學劑或熱,以自結構沖洗或移除助焊劑126與助焊劑226。在一些實施例中,移除助焊劑(或清潔)的步驟可關於噴塗溶劑、施加去離子水、加熱、與乾燥積體電路封裝250。在一些實施例中,助焊劑126與助焊劑226的保留部分可保留並作為底填層。
如圖12所示的一些實施例,底填層206形成於封裝構件150與封裝基板200之間,以圍繞導電連接物106與凸塊下金屬化層104。底填層206的形成方法可為貼合封裝構件150之後的毛細流動製程,或貼合封裝構件150之前的合適沉積方法。底填層206可為自封裝基板200延伸至封裝構件150的中介層110 (如絕緣層102)的連續材料。
在圖13中,進行載板分離製程以自封裝構件150 (含密封劑96、第一積體電路裝置80A、與第二積體電路裝置80B)分離封裝載板130,進而完成積體電路封裝250。在封裝載板130經由離型層132貼合至封裝構件150的實施例中,分離步驟包括投射光如雷射或紫外光於離型層132上,使離型層132在光熱下分解而可移除封裝載板130。在封裝載板130以真空或壓力靠向封裝構件150的實施例中,釋放這些力有助於自封裝構件150移除封裝載板130。
此外,可進行載板分離以自封裝基板200分離基板載板220。在基板載板220經由離型層222貼合至封裝基板200的實施例中,分離步驟包括投射光如雷射或紫外光於離型層222上,使離型層222在光熱下分解而可移除基板載板220。在封裝基板200以真空或壓力靠向基板載板220的實施例中,釋放這些力有助於自封裝基板200移除基板載板220。
在形成積體電路封裝250的接合製程之前貼合封裝載板130與基板載板220,可達多種優點。舉例來說,接合製程往往造成積體電路封裝250的翹曲與膨脹,其部分原因為封裝基板200具有較大且平坦的尺寸。此外,第一積體電路裝置80A、第二積體電路裝置80B、密封劑96、與封裝基板200具有多種熱膨脹係數如上述,亦可能造成翹曲與膨脹。具體而言,若第一積體電路裝置80A、第二積體電路裝置80B、密封劑96、晶圓70、及/或封裝基板200的熱膨脹係數彼此不同,則接合製程所含的一或多道熱製程可能造成一些構件的膨脹程度大於其他構件的膨脹程度。封裝載板130與基板載板220的存在,可減少封裝基板200及/或封裝構件150中的翹曲。具體而言,貼合基板載板220與封裝載板130,可分別確保封裝基板200與封裝構件150維持平坦且沿著基板載板220牢固地位於適當位置。
如圖所示,不論在移除封裝載板130與基板載板220之前或之後,積體電路封裝250具有一些翹曲。然而如上所述,貼合封裝載板130至封裝構件150以及貼合基板載板220至封裝基板200以用於接合製程,可減少封裝構件150及/或封裝基板200的翹曲。導電連接物106的高度差異(比如自接合墊204量測到凸塊下金屬化層104)可表示積體電路封裝250中的一些翹曲或彎曲程度。以圖12為例,在移除封裝載板130與基板載板220之前,導電連接物106的最小高度與最大高度之間的高度差異可小於或等於150微米。移除封裝載板130及/或基板載板220之後可量測到額外翹曲或彎曲,因為不再具有這些結構支撐。如此一來如圖13所示,移除封裝載板130及/或基板載板220之後,導電連接物106的最小高度與最大高度之間的高度差異ΔH 2小於或等於10微米。值得注意的是,雖然量測到更多翹曲或彎曲而使高度差異自ΔH 1增加到ΔH 2,接合製程時存在的封裝載板130與基板載板220可減少預期的翹曲程度,進而減少自ΔH 1增加到ΔH 2的增加量。
額外指標可進一步指出積體電路封裝250的一或多個部分中的翹曲或彎曲。這些部分的平坦度差異可表示積體電路封裝250中的一些翹曲或彎曲等級。舉例來說,
封裝基板200的下表面(如基板核心202)所量測到的平坦度差異ΔF 1可小於或等於50微米。此外,中介層110的下表面(如基板72及/或絕緣層102,若存在)所量測到的平坦度差異ΔF 2可小於或等於120微米。此外,密封劑96的上表面所量測到的平坦度差異ΔF 3可小於或等於120微米。接合製程時存在的封裝載板130與基板載板220,可減少平坦度差異ΔF 1、ΔF 2、及ΔF 3
雖然未圖示,可對積體電路封裝250進行其他製程。舉例來說,在移除封裝載板130之後,亦可視情況在移除基板載板220之後進行其他製程。舉例來說,可貼合額外結構如散熱器至封裝構件150。在一些實施例中,額外製程可包括切割封裝基板200。
在接合晶圓上晶片構件(如封裝構件150)至基板(如封裝基板200)以形成基板上晶圓上晶片封裝時,可採用上述封裝載板130與基板載板220以減少翹曲。可由類似方式採用載板於其他種類的覆晶接合製程中。圖14係一些實施例中,製造積體電路封裝250的中間階段的剖視圖。在此實施例中,採用晶圓載板120與晶片載板140的方式,與接合第一積體電路裝置80A至晶圓70以形成晶圓上晶片構件(如封裝構件150)時採用封裝載板130與基板載板220的方式類似。在圖14的製程步驟之後,可進行上述的合適製程步驟以完成積體電路封裝250。
在圖14中,形成封裝構件150的方法包括貼合第一積體電路裝置80A至晶片載板140,以在後續貼合第一積體電路裝置80A至晶圓70時減少第一積體電路裝置80A的翹曲或膨脹。舉例來說,晶片載板140可經由離型層142貼合至第一積體電路裝置80A。離型層142的組成可為聚合物為主的材料,在製程之後可自結構一起移除晶片載板140與離型層142。在一些實施例中,晶片載板140為基板如基體半導體或玻璃基板。在一些實施例中,離型層142為雷射及/或熱離型材料,其於照射特定波長的光及/或加熱時失去其黏著特性,比如光熱轉換離型塗層。舉例來說,離型層142可包括環氧化合物、聚醯亞胺、丙烯酸、或類似物於醋酸酯及/或醇類溶劑中,或其他合適材料。舉例來說,離型層142的組成可為丙烯酸於溶劑(1-甲氧基-2-丙基醋酸酯與2-丁氧基乙醇)中的混合物,如3M TM為光熱轉換特性所製造。在一些實施例中(未圖示),採用真空或壓力密封而非離型層142,使第一積體電路裝置80A靠向晶片載板140。
此外,晶圓70貼合至晶圓載板120,以在後續製程步驟時避免或減少晶圓70的翹曲或膨脹。舉例來說,晶圓載板120可由離型層122貼合至晶圓70的背側70B (晶圓70的背側70B與晶圓70的前側70F相對)。離型層122的組成可為聚合物為主的材料,且在製程之後可自結構一起移除晶圓載板120與離型層122。在一些實施例中,晶圓載板120為基板如基體半導體或玻璃基板。在一些實施例中,離型層122為雷射及/或熱離型材料,其於照射特定波長的光及/或加熱時失去其黏著特性,比如光熱轉換離型塗層。舉例來說,離型層122可包括環氧化合物、聚醯亞胺、丙烯酸、或類似物於醋酸酯及/或醇類溶劑中,或其他合適材料。舉例來說,離型層122的組成可為丙烯酸於溶劑(1-甲氧基-2-丙基醋酸酯與2-丁氧基乙醇)中的混合物,如3M TM為光熱轉換特性所製造。在一些實施例中(未圖示),採用真空或壓力密封而非離型層122,使晶圓70靠向晶圓載板120。
在貼合第一積體電路裝置80A至晶片載板140,並貼合晶圓70至晶圓載板120之後,可接合第一積體電路裝置80A至晶圓70。接合第一積體電路裝置80A至晶圓70的方法可包括將第一積體電路裝置80A置於晶圓70上,並使導電連接物82再流動。接著可移除晶片載板140與晶圓載板120。移除晶圓載板120與晶片載板140的製程,可與前述移除封裝載板130與基板載板220的製程類似(見圖12及13)。
晶片載板140貼合至第一積體電路裝置80A以及晶圓載板120貼合至晶圓70,在接合製程時可減少第一積體電路裝置80A的翹曲。然而接合製程之後可發現一些翹曲或彎曲。舉例來說,導電連接物82的高度的最小值與最大值之間的差異可小於或等於15微米。此外,第一積體電路裝置80A的頂側或底側的平坦度差異可小於或等於60微米。
實施例可達一些優點。貼合封裝載板130與基板載板220至封裝構件150與封裝基板200以用於接合製程,可最小化構件可能發生的翹曲。具體而言,構件之間不同的熱膨脹係數與再流動和重新固化焊料材料的加熱與冷卻製程結合,往往導致封裝構件 150 及/或封裝基板 200 中的單元膨脹和收縮程度不同。接合製程時的載板所提供的穩定性減少可能發生的翹曲。此外,在接合一或多個積體電路裝置80至晶圓70以形成封裝構件150時,可類似地採用載板以達類似優點。這些例子中減少翹曲,造成積體電路封裝250的組裝效果增加,且改善封裝的牢固性與可信度。
在一實施例中,積體電路封裝的形成方法包括:貼合第一載板至封裝構件,且封裝構件包括:中介層;第一半導體晶粒,貼合至中介層的第一側;第二半導體晶粒,貼合至中介層的第一側;密封劑,密封第一半導體晶粒與第二半導體晶粒;以及多個導電連接物,貼合至中介層的第二側;貼合第二載板至封裝基板,封裝基板包括多個接合墊;當第一載板貼合至封裝構件且第二載板貼合至封裝基板時,使導電連接物再流動以接合封裝構件的導電連接物至封裝基板的接合墊;移除第一載板;以及移除第二載板。在另一實施例中,移除第一載板與第二載板之後,導電連接物的高度差異小於10微米。在另一實施例中,接合導電連接物至接合墊的步驟包括:形成第一助焊劑於導電連接物上;形成第二助焊劑於接合墊上;對準封裝構件與封裝基板;以及施加熱以再流動導電連接物。在另一實施例中,第一半導體晶粒、第二半導體晶粒、與密封劑的熱膨脹係數不同。在一些實施例中,第二載板與第一載板的熱膨脹係數不同。在另一實施例中,方法更包括:貼合第三載板至第一半導體晶粒;貼合第四載板至中介層;當第三載板貼合至第一半導體晶粒且第四載板貼合至中介層時,接合第一半導體晶粒至中介層;移除第三載板;以及移除第四載板。在另一實施例中,貼合第一載板至封裝構件的步驟包括暫時接合沿著第一載板的第一離型層至封裝構件。在另一實施例中,封裝基板貼合至第二載板的方法採用真空密封。
在一實施例中,積體電路封裝的形成方法包括:施加第一助焊劑至封裝構件的多個導電連接物,封裝構件貼合至封裝載板,且封裝構件包括:中介層;第一半導體晶粒,接合至中介層;以及第二半導體晶粒,接合至中介層且與第一半導體晶粒橫向相鄰;施加第二助焊劑至封裝基板的多個接合墊,且封裝基板貼合至基板載板;對準導電連接物與接合墊;以及使導電連接物再流動,其中使導電連接物再流動之後的導電連接物的高度差異小於或等於10微米。在另一實施例中,方法更包括在對準導電連接物至接合墊的步驟之前,貼合封裝構件至封裝載板;以及貼合封裝基板至基板載板。在另一實施例中,方法更包括使導電連接物再流動之後,移除該封裝載板;以及移除基板載板。在另一實施例中,移除封裝載板與基板載板即增加導電連接物的高度差異,且移除封裝載板與基板載板之後的導電連接物的高度差異小於或等於10微米。在另一實施例中,第一半導體晶粒包括邏輯晶粒,而第二半導體晶粒包括記憶體晶粒。在另一實施例中,施加第一助焊劑的步驟包括將封裝構件浸入第一助焊劑,而施加第二助焊劑的步驟包括噴塗或噴墨第二助焊劑到接合墊上。在另一實施例中,第一半導體晶粒與第二半導體晶粒的熱膨脹係數不同。
在一實施例中,積體電路封裝的形成方法包括:貼合多個半導體晶粒至中介層的第一側;形成密封劑於半導體晶粒周圍;貼合第一載板於半導體晶粒與密封劑上;薄化中介層的第二側以露出導電通孔;形成多個導電連接物於導電通孔上;接合導電連接物至封裝基板的多個接合墊,且在接合時的封裝基板貼合至第二載板,其中接合導電連接物之後的導電連接物具有第一高度差異;以及移除第一載板與第二載板,其中移除第一載板與第二載板之後的導電連接物具有第二高度差異,且第二高度差異大於第一高度差異。在另一實施例中,第二高度差異小於或等於10微米。在另一實施例中,移除第一載板與第二載板即增加中介層的平坦度差異。在另一實施例中,方法更包括將導電連接物浸入助焊劑材料。在另一實施例中,接合導電連接物的步驟包括:將中介層置於封裝基板上,且助焊劑材料接觸接合墊;使導電連接物再流動;再固化導電連接物;以及沉積底填層於導電連接物周圍。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
ΔF 1,ΔF 2,ΔF 3:平坦度差異 ΔH 1,ΔH 2:高度差異 50:積體電路晶粒 50A:第一積體電路晶粒 50B:第二積體電路晶粒 50F,70F:前側 52:半導體基板 54,74:內連線結構 56:晶粒連接物 58:介電層 60,60A,60B:晶粒堆疊 62,76:導電通孔 70:晶圓 70A:封裝區 70B:背側 72:基板 80:積體電路裝置 80A:第一積體電路裝置 80B:第二積體電路裝置 82,106:導電連接物 84,206:底填層 96:密封劑 102:絕緣層 104:凸塊下金屬化層 110:中介層 120:晶圓載板 122,132,142,222:離型層 126,226:助焊劑 130:封裝載板 140:晶片載板 150:封裝構件 200:封裝基板 202:基板核心 204:接合墊 220:基板載板 250:積體電路封裝
圖1係積體電路晶粒的剖視圖。 圖2A及2B係一些實施例中,晶粒堆疊的剖視圖。 圖3至13係一些實施例中,製造積體電路封裝的中間階段的剖視圖。 圖14係一些其他實施例中,製造積體電路封裝的中間階段的剖視圖。
△H1:高度差異
74:內連線結構
72:基板
80:積體電路裝置
80A:第一積體電路裝置
80B:第二積體電路裝置
82,106:導電連接物
84,206:底填層
96:密封劑
102:絕緣層
104:凸塊下金屬化層
110:中介層
132,222:離型層
130:封裝載板
150:封裝構件
200:封裝基板
202:基板核心
204:接合墊
220:基板載板
250:積體電路封裝

Claims (20)

  1. 一種積體電路封裝的形成方法,包括: 貼合一第一載板至一封裝構件,且該封裝構件包括: 一中介層; 一第一半導體晶粒,貼合至該中介層的第一側; 一第二半導體晶粒,貼合至該中介層的第一側; 一密封劑,密封該第一半導體晶粒與該第二半導體晶粒;以及 多個導電連接物,貼合至該中介層的第二側; 貼合一第二載板至一封裝基板,該封裝基板包括多個接合墊; 當該第一載板貼合至該封裝構件且該第二載板貼合至該封裝基板時,使該些導電連接物再流動以接合該封裝構件的該些導電連接物至該封裝基板的該些接合墊; 移除該第一載板;以及 移除該第二載板。
  2. 如請求項1之積體電路封裝的形成方法,其中移除該第一載板與該第二載板之後,該些導電連接物的高度差異小於10微米。
  3. 如請求項1之積體電路封裝的形成方法,其中接合該些導電連接物至該些接合墊的步驟包括: 形成一第一助焊劑於該些導電連接物上; 形成一第二助焊劑於該些接合墊上; 對準該封裝構件與該封裝基板;以及 施加熱以再流動該些導電連接物。
  4. 如請求項1之積體電路封裝的形成方法,其中該第一半導體晶粒、該第二半導體晶粒、與該密封劑的熱膨脹係數不同。
  5. 如請求項1之積體電路封裝的形成方法,其中該第二載板與該第一載板的熱膨脹係數不同。
  6. 如請求項1之積體電路封裝的形成方法,更包括: 貼合一第三載板至該第一半導體晶粒; 貼合一第四載板至該中介層; 當該第三載板貼合至該第一半導體晶粒且該第四載板貼合至該中介層時,接合該第一半導體晶粒至該中介層; 移除該第三載板;以及 移除該第四載板。
  7. 如請求項1之積體電路封裝的形成方法,其中貼合該第一載板至該封裝構件的步驟包括暫時接合沿著該第一載板的一第一離型層至該封裝構件。
  8. 如請求項1之積體電路封裝的形成方法,其中該封裝基板貼合至該第二載板的方法採用真空密封。
  9. 一種積體電路封裝的形成方法,包括: 施加一第一助焊劑至一封裝構件的多個導電連接物,該封裝構件貼合至一封裝載板,且該封裝構件包括: 一中介層; 一第一半導體晶粒,接合至該中介層;以及 一第二半導體晶粒,接合至該中介層且與該第一半導體晶粒橫向相鄰; 施加一第二助焊劑至一封裝基板的多個接合墊,且該封裝基板貼合至一基板載板; 對準該些導電連接物與該些接合墊;以及 使該些導電連接物再流動,其中使該些導電連接物再流動之後的該些導電連接物的高度差異小於或等於10微米。
  10. 如請求項9之積體電路封裝的形成方法,更包括在對準該些導電連接物至該些接合墊的步驟之前, 貼合該封裝構件至該封裝載板;以及 貼合該封裝基板至該基板載板。
  11. 如請求項10之積體電路封裝的形成方法,更包括使該些導電連接物再流動之後,移除該封裝載板;以及 移除該基板載板。
  12. 如請求項11之積體電路封裝的形成方法,其中移除該封裝載板與該基板載板即增加該些導電連接物的高度差異,且移除該封裝載板與該基板載板之後的該些導電連接物的高度差異小於或等於10微米。
  13. 如請求項9之積體電路封裝的形成方法,其中該第一半導體晶粒包括邏輯晶粒,而該第二半導體晶粒包括記憶體晶粒。
  14. 如請求項9之積體電路封裝的形成方法,其中施加該第一助焊劑的步驟包括將該封裝構件浸入該第一助焊劑,而施加該第二助焊劑的步驟包括噴塗或噴墨該第二助焊劑到該些接合墊上。
  15. 如請求項9之積體電路封裝的形成方法,其中該第一半導體晶粒與該第二半導體晶粒的熱膨脹係數不同。
  16. 一種積體電路封裝的形成方法,包括: 貼合多個半導體晶粒至一中介層的第一側; 形成一密封劑於該些半導體晶粒周圍; 貼合一第一載板於該些半導體晶粒與該密封劑上; 薄化該中介層的第二側以露出該些導電通孔; 形成多個導電連接物於該些導電通孔上; 接合該些導電連接物至一封裝基板的多個接合墊,且在接合時的該封裝基板貼合至一第二載板,其中接合該些導電連接物之後的該些導電連接物具有一第一高度差異;以及 移除該第一載板與該第二載板,其中移除該第一載板與該第二載板之後的該些導電連接物具有一第二高度差異,且該第二高度差異大於該第一高度差異。
  17. 如請求項16之積體電路封裝的形成方法,該第二高度差異小於或等於10微米。
  18. 如請求項16之積體電路封裝的形成方法,其中移除該第一載板與該第二載板即增加該中介層的平坦度差異。
  19. 如請求項16之積體電路封裝的形成方法,更包括將該些導電連接物浸入一助焊劑材料。
  20. 如請求項19之積體電路封裝的形成方法,其中接合該些導電連接物的步驟包括: 將該中介層置於該封裝基板上,且該助焊劑材料接觸該些接合墊; 使該些導電連接物再流動; 再固化該些導電連接物;以及 沉積一底填層於該些導電連接物周圍。
TW112110675A 2022-05-31 2023-03-22 積體電路封裝的形成方法 TW202349590A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/828,310 US20230387101A1 (en) 2022-05-31 2022-05-31 Integrated Circuit Packages and Methods of Forming the Same
US17/828,310 2022-05-31

Publications (1)

Publication Number Publication Date
TW202349590A true TW202349590A (zh) 2023-12-16

Family

ID=88220414

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112110675A TW202349590A (zh) 2022-05-31 2023-03-22 積體電路封裝的形成方法

Country Status (3)

Country Link
US (1) US20230387101A1 (zh)
CN (1) CN116864401A (zh)
TW (1) TW202349590A (zh)

Also Published As

Publication number Publication date
US20230387101A1 (en) 2023-11-30
CN116864401A (zh) 2023-10-10

Similar Documents

Publication Publication Date Title
US11342309B2 (en) Semiconductor packages and methods of forming same
US11682655B2 (en) Semiconductor packages and methods of forming the same
US11189603B2 (en) Semiconductor packages and methods of forming same
US11177201B2 (en) Semiconductor packages including routing dies and methods of forming same
CN109786267B (zh) 半导体封装件和方法
US11728249B2 (en) Semiconductor package and method
US20170250138A1 (en) Semiconductor package and method of forming the same
KR20200037051A (ko) 집적 회로 패키지 및 방법
KR20190055692A (ko) 반도체 패키지들 내의 금속화 패턴들 및 그 형성 방법들
KR102331050B1 (ko) 반도체 패키지 및 그 형성 방법
CN115117033A (zh) 集成电路封装件及其形成方法
CN112750706A (zh) 集成电路器件和形成集成电路封装件的方法
US20220359489A1 (en) Semiconductor Devices and Methods of Manufacturing
TWI803310B (zh) 積體電路元件和其形成方法
KR20240005646A (ko) 집적 회로 패키지 및 방법
CN113223970B (zh) 半导体结构及其制造方法
KR20230147495A (ko) 혼합 본드 타입을 포함하는 반도체 패키지 및 이의 형성 방법
TW202349590A (zh) 積體電路封裝的形成方法
US20230335471A1 (en) Semiconductor packages
US20230245991A1 (en) Integrated Circuit Packages and Methods of Forming the Same
CN116598279A (zh) 封装件、半导体封装件及其形成方法
TW202406034A (zh) 積體電路封裝及其形成方法