TW202347431A - 半導體裝置及製造方法 - Google Patents

半導體裝置及製造方法 Download PDF

Info

Publication number
TW202347431A
TW202347431A TW112106507A TW112106507A TW202347431A TW 202347431 A TW202347431 A TW 202347431A TW 112106507 A TW112106507 A TW 112106507A TW 112106507 A TW112106507 A TW 112106507A TW 202347431 A TW202347431 A TW 202347431A
Authority
TW
Taiwan
Prior art keywords
gate
semiconductor device
layer
gate electrode
manufacturing
Prior art date
Application number
TW112106507A
Other languages
English (en)
Inventor
王柏荃
陳冠亘
洪嘉陽
潘昇良
林煥哲
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202347431A publication Critical patent/TW202347431A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文描述半導體裝置及半導體裝置的製造方法。此方法包括形成閘電極及位於閘電極上方的閘電極接觸層;在閘電極接觸層上方形成介電層;以及執行穿過介電層的蝕刻,蝕刻形成暴露閘電極接觸層的開口。此方法進一步包括藉由將開口暴露於電漿來對藉由蝕刻製程形成的開口進行蝕刻後處理。此方法進一步包括在蝕刻後處理之後藉由自下而上沈積製程在這些開口中形成閘電極觸點。

Description

半導體裝置及製造方法
半導體裝置係用於各種電子應用中,諸如個人電腦、行動電話、數位相機及其他電子設備。通常藉由以下方式製備半導體裝置:依次在半導體基板上沈積絕緣或介電層、導電層及半導體材料層,及使用微影術對各材料層進行圖案化以在該些材料層上形成電路組件及元件。
半導體工業藉由不斷減小最小特徵尺寸來繼續提高各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積體密度,此舉允許將更多的組件整合至給定區域中。
以下揭示內容提供了用於實現揭示的不同特徵的許多不同的實施例或實例。以下描述組件及佈置的特定實例用以簡化本揭示內容。當然,該些僅為實例,並不旨在進行限制。例如,在下面的描述中在第二特徵上方或之上形成第一特徵可包括其中第一特徵及第二特徵直接接觸形成的實施例,並且亦可包括其中在第一特徵與第二特徵之間形成附加特徵的實施例,以使得第一特徵及第二特徵可以不直接接觸。此外,本揭示內容可以在各個實例中重複元件符號或字母。此重複係出於簡單及清楚的目的,其本身並不指定所討論之各種實施例或組態之間的關係。
此外,為了便於描述,本文中可以使用諸如「在……下方」、「在……下」、「下方」、「在……上方」、「上方」之類的空間相對術語,來描述如圖中所示的一個元件或特徵與另一元件或特徵的關係。除了在附圖中示出的定向之外,空間相對術語意在涵蓋裝置在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或以其他定向),並且在此使用的空間相對描述語亦可被相應地解釋。
本揭示內容係關於一種半導體裝置及其製造方法,且更具體地係關於一種包含至源極/汲極區的觸點的半導體裝置。然而,本文討論的實施例旨在為說明性實施例,而不旨在將實施例限制為具體討論的那些實施例。例如,本文揭示的實施例針對在晶圓內形成複數個鰭式場效電晶體(fin-type field effects transistor,finFET),但所提出的想法可在多種裝置中實施。
第1圖說明根據一些實施例的在形成finFET裝置期間形成的中間結構100的三維視圖。中間結構100包含位於基板101 (例如,半導體基板)上的鰭片103。隔離區105設置在基板101中,且鰭片103在相鄰隔離區105上方及自相鄰隔離區105之間突出。儘管隔離區105描述及/或說明為與基板101分離,但如本文所用的術語「基板」可用於僅指半導體基板或包括隔離區105的半導體基板。此外,儘管鰭片103說明為與基板101相同的單一連續材料,但鰭片103及/或基板101可包含單一材料或複數個材料。在該上下文中,鰭片103係指在相鄰隔離區105之間延伸的部分。
假性閘極介電層107沿著側壁且在鰭片103的頂表面上方,且假性閘電極109在假性閘極介電層107上方。源極/汲極區111 (一旦再生長)設置在鰭片103相對於假性閘極介電層107及假性閘電極109的相對側。第1圖進一步說明在後面的圖式中使用的參考剖面X-X。剖面X-X垂直於finFET的假性閘電極109的縱軸,且在與例如finFET的源極/汲極區111之間的電流平行的方向上延伸穿過finFET的假性閘電極109的相對側上的源極/汲極區111。為清楚起見,隨後的圖式參考該參考剖面X-X。然而,第1圖僅說明由基板101形成的鰭片103之一,可使用任意數量的鰭片103,且在隨後的圖式中說明複數個鰭片103及相關結構。
本文討論的一些實施例在使用後閘極製程形成的FinFET的背景下進行討論。在其他實施例中,可以使用先閘極製程。此外,一些實施例考慮在平面裝置中使用的態樣,諸如平面FET、奈米結構(例如,奈米片、奈米線、全環繞閘極等)場效應晶體管(nanostructure field effect transistor,NSFET)等。
參考第1圖及第2圖,這些圖式說明形成finFET的一些初始步驟,包括圖案化基板101的複數個鰭片103。基板101可為矽基板,儘管可以使用其他基板,諸如絕緣體上半導體(semiconductor-on-insulator,SOI)、應變SOI及絕緣體上矽鍺。基板101可為p型半導體,儘管在其他實施例中,該基板101可為n型半導體。可藉由使用任何合適方法形成溝槽來圖案化鰭片103。例如,可使用一或多個微影術製程來圖案化鰭片103,包括雙圖案化製程或多圖案化製程。通常,雙圖案製程或多圖案製程結合微影術及自對準製程,從而允許創建具有例如比使用單一直接微影術製程可獲得的節距更小的節距的圖案。例如,在一個實施例中,犧牲層形成在基板上方且使用微影術製程圖案化。使用自對準製程在圖案化犧牲層旁邊形成間隔物。然後移除犧牲層,然後可使用剩餘的間隔物來圖案化鰭片103。
然而,如一般技藝人士將認識到,上述形成一系列鰭片103的製程及材料僅僅為例示性製程,且不意味著為唯一的實施例。相反,可使用可形成鰭片103的任何合適製程,且可使用包括任何數量的遮罩及移除步驟的任何合適製程。一旦形成,這些鰭片103可用於形成複數個finFET電晶體的通道區及源極/汲極區111,如下所述。在基板101內形成鰭片103之後,可形成諸如淺溝槽隔離(shallow trench isolation,STI)區域的隔離區105以將鰭片103與基板101內的其他區域隔離。因此,可用介電材料填充溝槽,且介電材料可在第一溝槽內凹陷以形成隔離區105。介電材料可為氧化物材料、高密度電漿(high-density plasma,HDP)氧化物等。在對溝槽進行可選的清洗及襯裡之後,可使用化學氣相沈積(chemical vapor deposition,CVD)方法、高密度電漿CVD方法或可使用任何其他合適形成方法來形成介電材料。
可藉由用介電材料過度填充溝槽及基板101,然後經由合適製程諸如化學機械研磨(chemical mechanical polishing,CMP)、蝕刻及其組合等移除溝槽及鰭片103外部的多餘材料來填充溝槽。在實施例中,移除製程亦移除位於鰭片103上方的任何介電材料,使得移除介電材料將使鰭片103的表面暴露於進一步的處理步驟。
一旦已經用介電材料填充溝槽,便可使介電材料遠離鰭片103的表面凹陷。可執行凹陷以暴露與鰭片103的頂表面相鄰的鰭片103的側壁的至少一部分。可使用濕式蝕刻藉由將鰭片103的頂表面浸入諸如HF的蝕刻劑中使介電材料凹陷,儘管可使用其他蝕刻劑(諸如H 2)及其他方法,諸如反應性離子蝕刻、使用蝕刻劑(諸如NH 3/NF 3)的乾式蝕刻、化學氧化物移除或乾式化學清洗。介電材料可凹陷至距鰭片103的表面約50埃與約500埃之間的距離,諸如約400埃。此外,凹陷亦可移除位於鰭片103上方的任何剩餘介電材料,以確保暴露鰭片103以進行進一步處理。
上述步驟可能僅為用於填充及凹陷介電材料的整個製程流程的一部分。例如,亦可利用襯裡步驟、清洗步驟、退火步驟、縫隙填充步驟、這些步驟的組合等來形成溝槽且用介電材料填充該些溝槽。所有可能的處理步驟完全旨在包括在本實施例的範圍內。
在已形成隔離區105之後,可在鰭片103及/或基板101中形成適當的阱(未圖示)。在一些實施例中,在鰭片103及/或基板101的不同的n型區及p型區內形成不同的阱類型。因此,可使用光阻劑及/或其他罩幕(未圖示)來實現n型區及p型區的不同佈植步驟。例如,可在鰭片103及n型區中的隔離區105上方形成光阻劑。圖案化光阻劑以暴露基板101的p型區。光阻劑可藉由使用旋塗技術形成且可使用可接受的微影技術進行圖案化。一旦圖案化光阻劑,則在p型區中執行n型雜質佈植,且光阻劑可充當罩幕以基本上防止n型雜質佈植至n型區中。n型雜質可為佈植該區中的磷、砷、銻等,濃度等於或小於10 18cm -3,諸如在約10 16cm -3與約10 18cm -3之間。在佈植之後,諸如藉由可接受的灰化製程移除光阻劑。
在佈植p型區之後,可以在鰭片103及p型區中的隔離區105上方形成光阻劑,然後圖案化以暴露基板101的n型區以啟動n型區的佈植。一旦圖案化光阻劑,便可使用光阻劑作為罩幕在n型區中執行p型雜質佈植,以基本上防止p型雜質佈植至p型區中。p型雜質可為佈植該區中的硼、氟化硼、銦等,濃度等於或小於10 18cm -3,諸如在約10 16cm -3與約10 18cm -3之間。在佈植之後,可諸如藉由可接受的灰化製程移除光阻劑。
在佈植n型區及p型區之後,可執行退火製程以修復佈植損傷且活化佈植的p型及/或n型雜質。在鰭片103或鰭片103的一部分生長的一些實施例中,儘管原位摻雜及佈植摻雜可一起使用,但鰭片103的磊晶生長材料可在生長期間原位摻雜,此舉可避免佈植。
一旦在鰭片103及/或基板101中形成阱,可在每一鰭片103上方形成假性閘極介電層107及假性閘電極109。最初,可在每一鰭片103上方形成假性閘極介電(或介面氧化物)層及在該假性閘極介電層上方的假性閘電極層。在實施例中,假性閘極介電層可藉由熱氧化、化學氣相沈積、濺射或本領域中已知及使用的用於形成閘極介電層的任何其他方法形成。取決於形成技術,鰭片103頂部的假性閘極介電層厚度可能不同於鰭片103側壁上的假性閘極介電層厚度。
假性閘極介電層可包含諸如二氧化矽或氮氧化矽的材料,厚度在約3埃與約100埃之間,諸如約10埃。假性閘極介電層可由諸如氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鉿(HfO 2)、氧氮化鉿(HfON)或氧化鋯(ZrO 2)或其組合的高介電常數(高k)材料(例如,具有大於約5的相對介電常數)形成,其中等效氧化物厚度在約0.5埃與約100埃之間,諸如約10埃或更小。此外,二氧化矽、氮氧化矽及/或高k材料的任何組合亦可用於假性閘極介電層。
假性閘電極層可包含導電材料且可選自由多晶矽(例如,假性多晶矽(dummy polysilicon,DPO))、W、Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni及其組合等組成的群組。可藉由化學氣相沈積(chemical vapor deposition,CVD)、濺射沈積或用於沈積導電材料的其他合適技術來沈積假性閘電極層。假性閘電極層的厚度可在約5埃與約200埃之間。假性閘電極層的頂表面可具有不平坦的頂表面,且可在圖案化假性閘電極層或執行閘極蝕刻製程之前平坦化。此時可將離子引入或不引入假性閘電極層。例如,可藉由離子佈植技術引入離子。
一旦形成,假性閘極介電層及假性閘電極層可經圖案化以在鰭片103上方形成一系列假性閘極介電層107及假性閘電極109。可藉由使用例如任何合適沈積及微影技術在假性閘電極層上沈積及圖案化硬罩幕207來形成假性閘電極109。硬罩幕207可結合任何合適遮罩及犧牲材料,諸如(但不限於)氧化矽、氮氧化矽、SiCON、SiC、SiOC及/或氮化矽,且可沈積至在約5埃至約200埃之間的厚度。可使用乾式蝕刻製程蝕刻假性閘電極層及假性閘極介電層以形成假性閘電極109及假性閘極介電層107。因此,假性閘電極109界定位於鰭片103的每一側的複數個通道區在假性閘極介電層107下方。
第2圖說明在鰭片103上的附加的假性閘極介電層107及假性閘電極109之一,其中鰭片103可在基板101的相同或不同區中,根據一些實施例,一旦假性閘電極109已圖案化,閘極間隔物203便可形成在假性閘電極109的相對側上。例如,藉由在先前形成的結構上毯覆沈積間隔物層堆疊來形成閘極間隔物203。間隔物層可包含具有不同蝕刻特性的不同材料或與隔離區105內的介電材料相同的材料。閘極間隔物203的絕緣材料可為氧化矽、氮化矽、氮氧化矽、碳氮化矽及其組合等。然後可諸如藉由一或多次蝕刻圖案化閘極間隔物203,以自結構的水平表面移除間隔物層,以形成閘極間隔物203。
根據一些實施例,可在形成閘極間隔物203之前形成可選的閘極密封間隔物205。可選的閘極密封間隔物205可以藉由毯覆沈積形成在假性閘電極109、罩幕、及/或鰭片103的暴露表面上。可選的閘極密封間隔物205可包含SiCON、SiN、氧氮化物、SiC、SiON、SiOC、氧化物等,且可藉由任何合適方法形成以形成這樣的層,諸如化學氣相沈積(chemical vapor deposition,CVD)、電漿增強化學氣相沈積(plasma enhanced chemical vapor deposition,PECVD)、濺射及任何其他合適方法。熱氧化或沈積然後進行各向異性蝕刻可形成可選的閘極密封間隔物205。
在形成閘極間隔物203之後,可執行用於輕摻雜源極/汲極(lightly doped source/drain,LDD)區(未明確說明)的佈植。在具有不同裝置類型的實施例中,類似於上文討論的佈植,可在待保護的結構區上方形成罩幕,諸如光阻劑,且可將適當類型(例如,p型或n型)雜質佈植至未遮罩區中暴露的鰭片103中。然後可移除掩膜。可執行隨後的遮罩及佈植製程以基於形成的所需裝置適當地摻雜結構的不同區。輕摻雜源極/汲極區可具有自約10 15cm -3至約10 19cm -3的雜質濃度。可使用退火製程來修復佈植損傷且活化佈植的雜質。
應注意,以上揭示內容一般描述形成間隔物及LDD區的製程。可使用其他製程及順序。例如,可使用更少或附加的間隔物,可使用不同順序的步驟(例如,在形成閘極間隔物203之前可不蝕刻可選的閘極密封間隔物205,從而產生「L形」閘極密封間隔物),可形成及移除間隔物等。
除第1圖中說明的結構之外,第2圖另外說明,一旦形成閘極間隔物203,鰭片103的由假性閘電極109及閘極間隔物203保護的部分的移除使用假性閘電極109及閘極間隔物203作為硬罩幕的反應性離子蝕刻(reactive ion etch,RIE)或藉由使用任何其他合適移除過程。可繼續移除直至鰭片103與隔離區105的表面齊平或在其下方。
一旦部分鰭片103已移除,源極/汲極區111經由鰭片103的材料的選擇性磊晶(epitaxial,EPI)生長製程來生長。在其中鰭片103包含矽且finFET為p型裝置的實施例中,源極/汲極區111可生長有與通道區晶格常數不同的材料,諸如矽、矽鍺、矽磷。磊晶生長製程可使用諸如矽烷、二氯矽烷、鍺烷等的前驅物,且可持續約5分鐘至約120分鐘,諸如約30分鐘。在其他實施例中,源極/汲極區111可包含諸如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP或其組合等的材料。
一旦形成源極/汲極區111,便可藉由佈植適當的摻雜劑將摻雜劑佈植源極/汲極區111中以補充鰭片103中的摻雜劑。在其他實施例中,摻雜劑可在源極/汲極區111的生長期間原位置放。例如,可佈植或置放諸如硼、鎵、銦等的p型摻雜劑以形成PMOS裝置。在另一實施例中,可佈植或置放諸如磷、砷、銻等的n型摻雜劑以形成 NMOS裝置。在佈植摻雜劑的實施例中,可使用假性閘電極109、可選的閘極密封間隔物205及閘極間隔物203作為罩幕來佈植這些摻雜劑。然而,可使用任何其他合適製程、步驟等來佈植摻雜劑。例如,可使用間隔物及襯墊的各種組合來執行複數個佈植製程,以形成具有適合特定目的的特定形狀或特性的源極/汲極區111。這些製程中的任一者可用於佈植摻雜劑,且上述描述並不意味著將本實施例限制於上述步驟。
第3圖說明根據一些實施例的在源極/汲極區111上方形成第一層間介電(interlayer dielectric,ILD)層303。一旦已形成源極/汲極區111,便將第一ILD層303沈積在基板101的暴露區域上方。根據一些實施例,第一ILD層303可包含諸如氧化矽(SiO 2)或硼磷矽玻璃(boron phosphorous silicate glass,BPSG)的材料,儘管可使用任何合適介電層。可使用諸如電漿增強化學氣相沈積(plasma enhanced chemical vapor deposition,PECVD)的化學氣相沈積(chemical vapor deposition,CVD)製程來形成第一ILD層303,儘管亦可使用諸如低壓化學氣相沈積(low pressure chemical vapor deposition,LPCVD)的任何其他合適製程。
一旦形成,可使用例如第一退火製程對第一ILD層303進行退火。在實施例中,第一退火製程可為熱退火,其中基板101及第一ILD層303在惰性氣氛下例如在爐中加熱。第一退火製程可在約200℃與約1000℃之間的溫度下執行,諸如約500℃,且可持續約60秒與約360分鐘之間的時間,諸如約240分鐘。一旦沈積及退火,便平坦化第一ILD層303、閘極間隔物203及可選的閘極密封間隔物205 (若存在),以暴露第一ILD層303的平坦表面中的假性閘電極109,其中平坦化製程亦可移除硬罩幕207 (若仍然存在)。
轉向第4圖,一旦暴露,隨後使用例如一或多種濕式蝕刻製程移除假性閘電極109及假性閘極介電層107,且由例如高k閘極介電層403及閘電極401,包括例如一或多個導電阻障層、一或多個功函數層及導電填充材料替換。根據一些實施例,高k閘極介電層403包括諸如HfO 2、ZrO 2、HfZrO x、HfSiO x、HfSiON、ZrSiO x、HfZrSiO x、Al 2O 3、HfAlO x、HfAlN、ZrAlO x、La 2O 3、TiO 2、Yb 2O 3等材料,且可為使用諸如原子層沈積的沈積製程形成的單層或複合層。然而,可使用任何合適材料及任何合適製程來形成高k閘極介電層403。
根據一些實施例,一或多個擴散阻障層及一或多個功函數層可形成為複數個堆疊層。例如,阻障層可形成為可(或可不)摻雜有矽的氮化鈦(TiN)層。在p型finFET的情況下,功函數層可與相應的閘電極401形成為堆疊層,包括Ti、Al、TiAl、TiAlN、Ta、TaN、TiAlC、TaAlCSi、TaAlC、TiSiN等。在形成有相應閘電極401的n型finFET的情況下,功函數層可形成有相應閘電極401作為堆疊層,包括TiN、TaN、TiAl、W、Ta、Ni、Pt等。在這些實施例中沈積功函數層之後,形成阻障層(例如,另一TiN層)。
根據一些實施例,導電填充材料可由諸如鎢、鈷、銅、釕、鋁等的材料形成。導電填充材料沈積在高k閘極介電層403、一或多個導電阻障層、一或多個功函數層的堆疊層上方,使得在相應閘電極401的相應閘極間隔物203之間的剩餘空間填充或過度填充。
一旦閘電極401的層已沈積,且剩餘空間由導電填充材料完全填充(或過度填充),便使用化學機械研磨(chemical mechanical polish,CMP)製程平坦化材料。CMP製程可對閘電極401的材料、相應閘極間隔物203、可選的閘極密封間隔物205 (若存在)及第一ILD層303的材料進行減薄,直至閘電極401的平坦化表面及閘極間隔物203暴露在第一ILD層303的平坦表面中。
在第5圖中,閘電極401為凹陷的,且閘極接觸層501可沈積在凹陷的閘電極401上。閘極接觸層501可由諸如無氟鎢(fluorine-free tungsten,FFW)的鎢形成,該鎢藉由選擇性沈積製程沈積,諸如選擇性CVD製程。然而,閘極接觸層501可包括其他導電材料,諸如釕、鈷、銅、鉬、鎳或其組合等,且可使用合適沈積製程(例如,ALD、CVD、PVD等)沈積。
包含一或多層介電材料(諸如氮化矽、氮氧化矽等)的閘極罩幕503沈積在閘極接觸層501上方且填充凹槽的其餘部分。沈積閘極罩幕503之後可進行平坦化製程以平坦化閘極罩幕503且移除任何不期望厚度的介電材料。平坦化製程可為化學機械研磨製程,儘管可使用任何合適平坦化製程。
在第6圖中,矽化物區601及源極/汲極插塞603穿過第一ILD層303形成。可蝕刻第一ILD層303以形成暴露源極/汲極區111的表面的凹槽。凹槽可藉由使用諸如RIE、NBE等的各向異性蝕刻製程進行蝕刻而形成。可在第一ILD層303上方形成且圖案化罩幕,諸如光阻劑,以自第一蝕刻製程及第二蝕刻製程遮罩第一ILD層303、閘極間隔物203及閘極罩幕503的部分。在一些實施例中,蝕刻製程可能過度蝕刻,因此,凹槽可延伸至源極/汲極區111中。凹槽的底表面可與(例如,與基板101在相同的位準或具有相同的距離)齊平,或低於(例如,更靠近基板101)源極/汲極區111的頂表面。
在形成凹槽之後,可形成矽化物區601。在一些實施例中,矽化物區601藉由首先沈積能夠與下伏源極/汲極區111的半導體材料(例如,矽、矽鍺、鍺等)反應的金屬(未單獨說明)形成,以在源極/汲極區111的暴露部分上形成矽化物或鍺化物區,諸如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他難熔金屬、稀土金屬或其合金。然後可執行熱退火製程以形成矽化物區601。藉由蝕刻製程移除沈積金屬的未反應部分。儘管被稱為矽化物區,但矽化物區601亦可為鍺化物區、鍺化矽區(例如,包含矽化物及鍺化物的區)等。在實施例中,矽化物區601包含TiSi,且具有約2 nm至約10 nm的厚度範圍。
然後源極/汲極插塞603上方在矽化物區601形成且填充凹槽。源極/汲極插塞603可各自包含一或多個層,諸如阻障層、擴散層及填充材料。例如,在一些實施例中,源極/汲極插塞603各自包括阻障層及位於阻障層上方的導電材料。每一源極/汲極插塞603的導電材料可經由矽化物區601電耦合至下伏源極/汲極區111。阻障層可包括鈦、氮化鈦、鉭、氮化鉭等。導電材料可為鈷(Co)、釕(Ru)、鈦(Ti)、鎢(W)、銅(Cu)、銅合金、銀(Ag)、金(Au)、鋁(Al)、鎳(Ni)等。在形成源極/汲極插塞603之後,可執行平坦化製程,諸如CMP,以自第一ILD層303及閘極罩幕503的表面移除多餘材料。
在第7圖中,根據一些實施例,第一蝕刻終止層701形成在閘極罩幕503、閘極間隔物203 (及可選的閘極密封間隔物205)及源極/汲極插塞603的暴露表面上方。在一些其他實施例中,第一蝕刻終止層701可使用諸如CVD、PVD、ALD或其組合等的沈積製程形成為氧化物膜,例如,氧化矽、氮氧化矽或其組合等。然而,可使用任何合適沈積製程。因此,第一蝕刻終止層701的頂表面可具有與下伏閘極罩幕503及源極/汲極插塞603的頂表面相同或相似的輪廓。
第7圖進一步說明根據一些實施例的在第一蝕刻終止層701上方形成的接觸蝕刻終止層(contact etch stop layer,CESL) 703及第二ILD 705的形成。接觸蝕刻終止層703可包含介電層材料,諸如氮化矽、氧化矽、氮氧化矽等,該介電層材料的蝕刻速度與上覆第二ILD 705及下伏第一蝕刻終止層701的材料不同(儘管接觸蝕刻終止層703對下伏第一蝕刻終止層701的選擇性可能低於10)。接觸蝕刻終止層703可藉由諸如ALD、CVD等的保形沈積製程來沈積。因此,接觸蝕刻終止層703的頂表面可具有與下伏第一蝕刻終止層701的頂表面相同或相似的輪廓。
第二ILD 705可由介電材料形成,且可藉由諸如CVD、PECVD或FCVD的任何合適方法來沈積。合適介電材料可包括PSG、BSG、BPSG、USG等。可使用藉由任何可接受的製程形成的其他絕緣材料。在沈積第二ILD 705之後,可執行諸如CMP的平坦化製程以平坦化第二ILD 705的頂表面。
第7圖進一步說明根據一些實施例,形成穿過第二ILD 705、接觸蝕刻終止層703及第一蝕刻終止層701向下到達源極/汲極插塞603的第一開口(未圖示)。一旦第二ILD 705已形成,便可使用一系列一或多種可接受的微影術及蝕刻技術來形成用於源極/汲極觸點707的第一開口。然而,可使用任何合適方法。
因為第一蝕刻終止層701相對薄(例如,小於約5 nm),故用於形成穿過接觸蝕刻終止層703的第一開口的第一蝕刻製程可以在第一蝕刻製程完全穿透第一蝕刻終止層701且減輕不希望的損壞之前減慢(或甚至終止)。此外,在已打開第一蝕刻終止層701以暴露下伏源極/汲極插塞603之後,第一蝕刻製程可終止而不延伸至源極/汲極插塞603中,或者可繼續略微過度蝕刻且部分地延伸進入源極/汲極插塞603。
在第一蝕刻製程形成用於源極/汲極觸點707的第一開口之後,沈積第一導電填充材料以填充用於源極/汲極觸點707的第一開口,以形成源極/汲極觸點707。在實施例中,第一導電填充材料包含金屬,諸如鎢、鈷(Co)或其合金等。此外,可使用諸如化學氣相沈積(chemical vapor deposition,CVD)的沈積製程來沈積第一導電填充材料。然而,可使用任何合適導電填充材料及任何合適製程來沈積源極/汲極觸點707。退火製程或重流製程可在沈積導電填充材料以形成源極/汲極觸點707之後執行。
一旦填充或過度填充,可使用諸如化學機械研磨(chemical mechanical polishing,CMP)的平坦化製程移除用於源極/汲極觸點707的第一開口外部的任何沈積材料,以用第二ILD層705的平坦化表面來平坦化源極/汲極觸點707。
第8圖說明根據一些實施例的在第二ILD 705上方形成的第三ILD 801的形成。第三ILD 801可由介電材料形成,且可藉由諸如CVD、PECVD或FCVD的任何合適方法來沈積。合適介電材料可包括PSG、BSG、BPSG、USG等。可使用藉由任何可接受的製程形成的其他絕緣材料。在沈積第三ILD 801之後,可執行諸如CMP的平坦化製程以平坦化第三ILD 801的頂表面。
第8圖進一步說明根據一些實施例的第二開口803的形成,該第二開口803穿過第三ILD 801、第二ILD 705及接觸蝕刻終止層703向下到達第一蝕刻終止層701。可使用一系列一或多種可接受的微影術及蝕刻技術形成第二開口803。第三ILD 801的形成防止源極/汲極觸點707暴露於形成第二開口803的蝕刻製程,從而有助於保護源極/汲極觸點707在形成第二開口803期間免受蝕刻損壞。根據一些實施例,可使用諸如三氟甲烷(CHF 3)及氫氣(H 2)的前驅物來執行第二蝕刻製程,以蝕刻穿過第三ILD 801、第二ILD 705且沖穿接觸蝕刻終止層703。然而,可使用合適蝕刻劑及任何合適數量或組合的蝕刻製程,且所有這些蝕刻劑及組合完全旨在包括在實施例的範圍內。
第9圖說明根據一些實施例的第二蝕刻製程的延續,可經執行以將第二開口803向下延伸至閘極接觸層501及/或源極/汲極插塞603。根據一些實施例,可使用諸如四氟化碳(CF 4)及氫氣(H 2)的前驅物來執行第二蝕刻製程,以蝕刻穿過第一蝕刻終止層701及閘極罩幕503。然而,可利用任何合適蝕刻劑及任何合適數量或組合的蝕刻製程,且所有這些蝕刻劑及組合完全旨在包括在實施例的範圍內。
第9圖進一步說明根據一些實施例,第二蝕刻製程亦導致聚合殘留物及蝕刻副產物901形成在第二開口803中的暴露表面上。這些聚合殘留物及蝕刻副產物901可能會降低第二開口803中暴露表面的品質。這些聚合殘留物及蝕刻副產物901可能導致不希望的效果(例如,增加的接觸電阻)。此外,在濕式或乾式清洗製程之後,具有聚合殘留物及蝕刻副產物901的第二開口803中的暴露表面可能易於氧化。典型的聚合殘留物及蝕刻副產物901可包括氟(F)、碳(C)、鎢(W)、鈷(Co)及各種組合的其他種類。例如,可藉由蝕刻劑氣體與新暴露的表面的反應在第二開口803中的暴露表面上產生各種CxFy、WFx、WOx、CoFx、CoxOy、SiFx化合物或聚合物。
第10圖說明在處理室(未圖示)中進行的用於移除第二開口803中的暴露表面上的聚合殘留物及蝕刻副產物901的蝕刻後處理1001。蝕刻後處理1001利用由氣體混合物形成的電漿。氣體混合物包含對應於電漿中的第一高能種類的第一氣體及對應於電漿中的第二高能種類的第二氣體。
一旦形成為電漿,第一高能種類用於利用受控離子能量轟擊位於第二開口803的暴露表面上的聚合殘留物及蝕刻副產物901,以自第二開口803的暴露表面釋放聚合殘留物及蝕刻副產物的反應性種類。一些釋放的反應性種類可能擴散至第二開口803的暴露表面中,例如,一些釋放的反應性種類擴散至閘極接觸層501。作為蝕刻後處理1001的一部分,釋放的反應性種類亦可自半導體結構移除。用於形成第一高能種類的第一氣體可包括雙原子氧、氬、雙原子氫或其組合等。
一旦形成為電漿,第二高能種類由第二氣體形成。第二高能種類亦可用於利用受控離子能量轟擊位於第二開口803的暴露表面上的聚合殘留物及蝕刻副產物901,以自第二開口803的暴露表面釋放聚合殘留物及蝕刻副產物的反應性種類。作為蝕刻後處理1001的一部分,釋放的反應性種類隨後可自半導體結構移除。用於形成第二高能種類的第二氣體可包括雙原子氫、氬或其組合等。
第一氣體及第二氣體可單獨或預混合流入產生電漿的電漿反應器中。電漿反應器可設置在其中設置有基板101的處理室中,或者遠離處理室設置。電漿反應器可為具有用於將功率輸入至電漿源產生器及基板偏壓裝置的單獨控件的任何合適反應器。在一種實施方式中,電漿反應器為電感耦合電漿(inductively coupled plasma,ICP)反應器。在此情況下,電漿反應器可具有控制判定電漿密度(源功率)的電感耦合RF功率供應的電漿源控制器,及控制用於在基板表面上產生偏壓電壓(偏壓功率)的RF功率或DC功率供應的偏壓控制器。偏壓可用於控制第一高能種類及第二高能種類朝向基板101 (例如朝向第二開口803的暴露表面)的轟擊能量。儘管在本揭示內容中使用ICP反應器作為形成電漿的實例,但預期亦可使用其他電漿源,諸如電容耦合電漿(capacitively coupled plasma,CCP)源、去耦電漿源(decoupled plasma source,DPS)、磁控管電漿源、電子迴旋共振(electron cyclotron resonance,ECR)源或微波電漿源。
以下處理室參數可用於執行蝕刻後處理1001。在各種實施方式中,氣體混合物可具有約5%的第一氣體及約95%的第二氣體至約80%的第一氣體及約20%的第二氣體的組成範圍,諸如約10%的第一氣體及90%的第二氣體,反應器壓力可為約0.5托至約3托,例如約1托。源功率可為約2000瓦特(W)至約5000 W,諸如約3500 W。處理室溫度可為約150℃至約250℃,諸如約200℃。若溫度過低,則反應速度極低。若溫度過高,則溫度可能會損壞處理室。氣體混合物的氣流可為約8000 sccm至約10000 sccm,例如約9000 sccm。若氣流過低,則反應速度極低。若氣流過高,則電漿種類可能會損壞處理室,例如若氣流過高,則氫電漿可能會損壞處理室(可部分由石英製成)。處理時間可為約60秒至約180秒,例如約120秒。在其中以8000 sccm的氣流執行60秒的處理時間的腔室處理參數的實施例中,蝕刻後處理1001使第二開口803中的暴露表面經受8000標準立方公分的氣體混合物。在其中以10000 sccm的氣流執行180秒的處理時間的腔室處理參數的另一實施例中,蝕刻後處理1001使第二開口803中的暴露表面經受30000標準立方公分的氣體混合物。預期這些處理參數可取決於第二開口803的尺寸、基板101的尺寸、電漿反應器的能力、應用等而變化。
在實施方式中,蝕刻後處理1001在無氮氣氛中進行,其中在蝕刻後處理1001期間沒有附加氮引入或存在於大氣中,因為附加氮可能會阻礙在後續處理步驟中進行的金屬生長。在另一實施方式中,蝕刻後處理1001在無惰性氣體氣氛中執行,其中在蝕刻後處理1001期間,氣氛中不存在附加惰性氣體,諸如氬氣。
在實施方式中,第一高能種類由雙原子氧氣形成,且第二高能種類由雙原子氫氣形成。高能氧種類及高能氫種類隨後轟擊位於第二開口803的暴露表面上的聚合殘留物及蝕刻副產物901,從而自第二開口803的暴露表面釋放聚合殘留物及蝕刻副產物的反應性種類。在該實施方式中,閘極接觸層可進一步由無氟鎢構成,且高能氧種類可擴散至閘極接觸層501的暴露表面中及/或與鎢反應,從而閘極接觸層501中形成WOx化合物。
可選地,一旦已執行蝕刻後處理1001,可對基板101進行清洗製程。在實施例中,清洗製程可為濕式清洗製程,以幫助促進聚合殘留物及蝕刻副產物901的任何剩餘部分的移除。例如,濕式清洗製程可為蒸餾水沖洗製程。然而,可使用任何合適清洗製程。
第11圖說明在蝕刻後處理1001之後第二開口803內的後續結構的發展。具體地,該圖說明閘電極觸點1101及對接觸點1103的形成。因此,沈積第二導電填充材料填充第二開口803。第二導電填充材料可為金屬,諸如鎢、鈷、銅、釕、鋁。此外,可使用諸如化學氣相沈積(chemical vapor deposition,CVD)的沈積製程來沈積導電填充材料,以執行自下而上選擇性無損耗沈積。沈積以填充第二開口803的第二導電填充材料可以產生閘電極觸點1101,其生長高度在約2.01 nm至約39.82 nm之間,諸如34.05 nm。在自下而上沈積中,前驅物經特別選擇,以便在沈積製程中,導電填充材料將具有在第二開口803中垂直傳播的單一生長前沿。因此,防止在導電填充材料中形成接縫。然而,可使用任何合適導電填充材料及任何合適製程在第二開口803內形成閘電極觸點1101及對接觸點1103。
在實施例中,沈積製程可利用諸如氟化鎢(WF 6)及氫氣(H 2)的前驅物,儘管可利用任何合適前驅物例如W(CO) 6、(NH 3) 3W(CO) 3、WCl 5、C 10H 12W、WH 2(iPrCp) 2等或其組合。在使用氟化鎢及氫氣作為前驅物的特定實施例中,氟化鎢(WF 6)可以在約50 sccm與約450 sccm之間的流速,諸如約100 sccm流入反應室,而氫氣(H 2)可以在約1000 sccm與約7000 sccm之間的流速,諸如約2000 sccm同時流入。另外,化學氣相沈積製程可在約200℃與約400℃之間的溫度下,諸如約300℃,以及在約10托與約300托之間的壓力下,諸如約20托進行。
此外,在利用自下而上沈積製程形成閘電極觸點1101以將第二導電填充材料沈積至第二開口803中的閘極接觸層501的暴露表面上的實施方式中,由於在蝕刻後處理1001中不使用氮,故可以在較少受到氮存在的干擾的情況下執行閘電極觸點1101的沈積。例如,如第12A圖所說明,當如所述使用蝕刻後處理1001時,在形成閘電極觸點1101之後,在閘極接觸層501中存在減少的氮分佈。在第一氣體包含雙原子氧氣,第二氣體包含雙原子氫氣且蝕刻後處理1001發生在無氮氣氛下的實施例中,在自下而上沈積製程度之後存在於閘極接觸層501表面的氮濃度(由標記為1201的線表示)低於其他進程。在特定實施例中,在閘極接觸層501的表面,氮濃度大於0個原子/立方公分且小於約1E+21個原子/立方公分。
第12B圖說明自下而上沈積製程期間閘極接觸層501上的閘電極觸點厚度的圖表,其中生長高度展示為在蝕刻後處理1001導致的縮短的保溫時間之後發生。在蝕刻後處理1001使用氧氣及氫氣,閘極接觸層501包含FFW且第二導電填充材料包含鎢(在圖式中由標記為1203的線表示)的實施例中,閘電極觸點1101的生長高度在約20秒與60秒之間的生長時間之後為至少4 nm (與使用氮的情況下的約2.6 nm生長高度相比,在圖式中由標記為1205的線表示)。
第12C圖說明在自下而上沈積製程期間閘極接觸層501上的閘電極觸點1101厚度的圖表,其中可以藉由選擇用於形成蝕刻後處理1001的電漿的氣體混合物中的第一氣體與第一氣體之比來選擇生長高度及保溫時間延遲。在用於形成電漿的氣體混合物為約5%的氧氣及約95%的氫氣的實施例中,所得的保溫時間延遲為約6.0秒,在圖式中由線1207表示。在用於形成電漿的氣體混合物為約50%氧氣及約50%氫氣的另一實施例中,所得的保溫時間延遲為約14.2秒,在圖式中由線1209表示。在用於形成電漿的氣體混合物為約80%氧氣及約20%氫氣的另一實施例中,所得的保溫時間延遲為約14.7秒,在圖式中由線1211表示。在用於形成電漿的氣體混合物為100%氧氣的另一實施例中,所得的保溫時間延遲為約12.8秒,在圖式中由線1213表示。
第13圖說明在閘電極觸點1101及對接觸點1103形成之後的實施例,阻障層1301沈積在第二開口803中的剩餘暴露表面上。在一種實施方式中,阻障層1301包含鈦或氮化鈦,且可藉由CVD沈積。然而,可使用任何合適材料及任何合適製程來沈積阻障層1301。在沈積阻障層1301之後,可在阻障層1301及第三ILD 801上方形成插塞1303。插塞1303有助於在隨後的平坦化處理期間(關於第13圖更詳細地討論)藉由最小化平坦化材料之間的變化提供基板101的穩定性,從而減少在該些平坦化製程期間可能發生的基板101退化。在實施方式中,插塞1303由與閘電極觸點相同的材料(亦即,鎢)形成。在一種實施方式中,插塞1303包含鎢且可藉由CVD沈積。然而,可使用任何合適材料及任何合適製程來沈積插塞1303。
第14圖說明,在形成閘電極觸點1101及對接觸點1103之後,基板101經受諸如CMP的平坦化製程1401以暴露源極/汲極觸點707的頂表面。平坦化製程1401移除第三ILD 801以暴露源極/汲極觸點的頂表面,以及閘電極觸點1101及對接觸點1103的一部分。平坦化製程1401的結果為頂部平坦表面,其中源極/汲極觸點707、閘電極觸點1101及對接觸點1103在頂部平坦表面上具有暴露的導電表面。平坦化製程1401允許開發能夠耦合中間結構100內的導電特徵且提供接入點以處理外部連接器以與基板101相接的附加特徵。
本文揭示的實施例可實現優勢。例如,蝕刻後處理1001能夠幫助移除形成在第二開口803中的暴露表面上的聚合殘留物及蝕刻副產物901,同時在自下而上沈積製程之後在閘極接觸層501的表面處保持閘極接觸層501表面的氮分佈為每立方公分少於約1E+21個氮原子。因此,閘電極觸點1101的形成可藉由自下而上沈積製程形成至閘極接觸層501上,從而可防止在形成閘電極觸點1101期間在第二導電填充材料內形成接縫,與使用氮的先前蝕刻後處理相比,生長高度提高,諸如在20秒的生長時間後超過4 nm,且保溫時間延遲減少,諸如約5.95秒,這可能導致生長時間超過180秒後生長高度小於2.63 nm。
根據實施例,一種製造半導體裝置的方法包括以下步驟:在閘電極上方形成閘極接觸層,該閘電極位於半導體材料的通道區上方;在閘極接觸層上方形成蝕刻終止層;在蝕刻終止層上方形成介電層;執行蝕刻製程以形成第一開口,其中第一開口延伸穿過介電層及蝕刻終止層以暴露閘極接觸層;執行蝕刻後處理,其中該蝕刻後處理包括形成包含氧及氫的電漿之步驟,其中該電漿不包括氮;及在執行蝕刻後處理之後,執行自下而上沈積製程以填充第一開口。在實施例中,自下而上沈積製程沈積鎢。在實施例中,電漿進一步不包括惰性氣體。在實施例中,自下而上沈積製程的第一開口中的生長高度在約20秒的生長時間之後大於4 nm。在實施例中,閘極接觸層包括無氟鎢。在實施例中,電漿由包含95%氫氣及5%氧氣的氣體混合物形成。在實施例中,自下而上沈積製程具有在約6.0秒至約14.7秒範圍內的保溫時間延遲。
根據另一實施例,一種製造半導體裝置的方法包括以下步驟:形成閘極堆疊;在閘極堆疊上方形成罩幕層,其中罩幕層包含無氟鎢;在罩幕層上方形成介電層;形成經由介電層暴露罩幕層的閘極堆疊通孔開口,其中形成閘極堆疊通孔開口之步驟在閘極堆疊通孔開口中產生蝕刻副產物;藉由將閘極堆疊通孔開口暴露於包含第一高能種類及氫的電漿來清洗蝕刻副產物;及藉由在罩幕層上初始化導電通孔材料的生長,在閘極堆疊通孔開口中進行自下而上沈積製程。在實施例中,第一高能種類包括氧。在實施例中,第一高能種類包括氬。在實施例中,在清洗步驟之後,罩幕層包括每立方公分0至1E+21個氮原子。在實施例中,在清洗步驟期間,暴露於閘極堆疊通孔開口的氫氣及氧氣的總體積在約8000立方公分與約30000立方公分之間。在實施例中,在清洗步驟之後,罩幕層包括氧化鎢化合物。在實施例中,該方法進一步包括以下步驟:在清洗步驟之後,沖洗閘極堆疊通孔開口。
根據又一實施例,一種半導體裝置包括:包含閘極部分及閘極接觸層的閘電極,其中該閘極接觸層具有大於0個原子/立方公分且小於約1E+21個原子/立方公分的氮濃度;位於閘電極上方的介電層;延伸穿過介電層且與閘電極相接的閘電極插塞。在實施例中,閘電極插塞包括鎢。在實施例中,半導體裝置進一步包括源極/汲極插塞,其中源極/汲極插塞包含鈷。在實施例中,半導體裝置進一步包括具有第一高度的源極/汲極插塞,其中閘電極具有第二高度,且其中第一高度大於第二高度。在實施例中,閘極接觸層包含無氟鎢。在實施例中,閘極接觸層包含氧化鎢化合物。
上文概述了數個實施例的特徵,使得熟習此項技術者可以更好地理解本揭示內容的各態樣。熟習此項技術者應理解,熟習此項技術者可以容易地將本揭示內容用作設計或修改其他製程及結構的基礎,以實現與本文介紹的實施例相同的目的及/或實現相同的優點。熟習此項技術者亦應認識到,該些等效構造不脫離本揭示內容的精神及範疇,並且在不脫離本揭示內容的精神及範疇的情況下,該些等效構造可以進行各種改變、替代及變更。
100:中間結構 101:基板 103:鰭片 105:隔離區 107:假性閘極介電層 109:假性閘電極 111:源極/汲極區 203:閘極間隔物 205:閘極密封間隔物 207:硬罩幕 303:第一層間介電層 401:閘電極 403:高k閘極介電層 501:閘極接觸層 503:閘極罩幕 601:矽化物區 603:源極/汲極插塞 701:第一蝕刻終止層 703:接觸蝕刻終止層 705:第二層間介電層 707:源極/汲極觸點 801:第三層間介電層 803:第二開口 901:蝕刻副產物 1001:蝕刻後處理 1101:閘電極觸點 1103:對接觸點 1201:氮濃度 1203:第二導電填充材料 1205:生長高度 1207、1209、1211、1213:保溫時間延遲 1301:阻障層 1303:插塞 1401:平坦化製程 X-X:剖面
結合附圖,根據以下詳細描述可以最好地理解本揭示內容的各態樣。注意,根據行業中的標準實務,各種特徵未按比例繪製。實際上,為了討論清楚起見,各種特徵的尺寸可任意增加或減小。 第1圖說明根據一些實施例的鰭片、隔離區、假性介電層及假性閘極的形成。 第2圖說明根據一些實施例的源極/汲極區、閘極間隔物及假性閘極罩幕的形成。 第3圖說明根據一些實施例的第一層間介電層(interlayer dielectric,ILD)的形成。 第4圖說明根據一些實施例的用閘極介電層及閘電極替換假性介電層及假性閘極。 第5圖說明根據一些實施例的閘極接觸層及閘極罩幕的形成。 第6圖說明根據一些實施例的矽化物區及源極/汲極插塞的形成。 第7圖說明根據一些實施例的第一蝕刻終止層、接觸蝕刻終止層、第二層間介電層及源極/汲極觸點的形成。 第8圖說明根據一些實施例的第三層間介電層的形成,及在形成用於閘極觸點的開口中的蝕刻製程。 第9圖說明根據一些實施例的在形成用於第一半導體裝置的閘極觸點的開口及形成蝕刻副產物中的蝕刻製程的繼續。 第10圖說明根據一些實施例的蝕刻後清洗步驟。 第11圖說明根據一些實施例的閘極觸點及替代地對接觸點的形成。 第12A圖至第12C圖說明根據一些實施例的源自蝕刻後處理參數的自下而上沈積製程的各種結果。 第13圖說明根據一些實施例的在暴露的觸點及剛性插塞上形成阻障層。 第14圖說明根據一些實施例的在平坦表面中暴露導電觸點的半導體結構的平坦化。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
101:基板
103:鰭片
111:源極/汲極區
203:閘極間隔物
205:閘極密封間隔物
401:閘電極
403:高k閘極介電層
501:閘極接觸層
503:閘極罩幕
601:矽化物區
603:源極/汲極插塞
701:第一蝕刻終止層
703:接觸蝕刻終止層
705:第二層間介電層
707:源極/汲極觸點
801:第三層間介電層
803:第二開口
1001:蝕刻後處理

Claims (20)

  1. 一種半導體裝置的製造方法,該方法包含: 在一閘電極上方形成一閘極接觸層,該閘電極位於一半導體材料的一通道區上方; 在該閘極接觸層上方形成一蝕刻終止層; 在該蝕刻終止層上方形成一介電層; 執行一蝕刻製程以形成一第一開口,其中該第一開口延伸穿過該介電層及該蝕刻終止層以暴露該閘極接觸層; 執行一蝕刻後處理,其中該蝕刻後處理包含形成包含氧及氫的一電漿,其中該電漿不包含氮;以及 在執行該蝕刻後處理之後,執行一自下而上沈積製程以填充該第一開口。
  2. 如請求項1所述之半導體裝置的製造方法,其中該自下而上沈積製程沈積鎢。
  3. 如請求項1所述之半導體裝置的製造方法,其中該電漿進一步不包含一惰性氣體。
  4. 如請求項1所述之半導體裝置的製造方法,其中在約20秒的一生長時間之後,該自下而上沈積製程的該第一開口中的一生長高度大於4奈米。
  5. 如請求項1所述之半導體裝置的製造方法,其中該閘極接觸層包含無氟鎢。
  6. 如請求項1所述之半導體裝置的製造方法,其中該電漿由包含95%氫氣及5%氧氣的一氣體混合物形成。
  7. 如請求項1所述之半導體裝置的製造方法,其中該自下而上沈積製程具有在約6.0秒至約14.7秒範圍內的一保溫時間延遲。
  8. 一種半導體裝置的製造方法,包含: 形成一閘極堆疊; 在該閘極堆疊上方形成一罩幕層,其中該罩幕層包含無氟鎢; 在該罩幕層上方形成一介電層; 形成一閘極堆疊通孔開口,該閘極堆疊通孔開口經由該介電層暴露該罩幕層,其中該形成該閘極堆疊通孔開口之步驟在該閘極堆疊通孔開口中產生多個蝕刻副產物; 藉由將該閘極堆疊通孔開口暴露於包含一第一高能種類及氫的一電漿來清洗該些蝕刻副產物;以及 藉由在該罩幕層上初始化一導電通孔材料的一生長,在該閘極堆疊通孔開口中執行一自下而上沈積製程。
  9. 如請求項8所述之半導體裝置的製造方法,其中該第一高能種類包含氧。
  10. 如請求項8所述之半導體裝置的製造方法,其中該第一高能種類包括氬。
  11. 如請求項8所述之半導體裝置的製造方法,其中在該清洗步驟之後,該罩幕層包含每立方公分0至1E+21個氮原子。
  12. 如請求項8所述之半導體裝置的製造方法,其中在該清洗步驟期間,暴露於該閘極堆疊通孔開口的氫及氧的一總體積在約8000立方公分與約30000立方公分之間。
  13. 如請求項8所述之半導體裝置的製造方法,其中在該清洗步驟之後,該罩幕層包含多個氧化鎢化合物。
  14. 如請求項8所述之半導體裝置的製造方法,進一步包含在該清洗步驟之後,沖洗該閘極堆疊通孔開口。
  15. 一種半導體裝置,包含: 一閘電極,包含一閘極部分及一閘極接觸層,其中該閘極接觸層具有大於0個原子/立方公分且小於約1E+21個原子/立方公分的一氮濃度; 一介電層,位於該閘電極上方;以及 一閘電極插塞,延伸穿過該介電層且與該閘電極相接。
  16. 如請求項15所述之半導體裝置,其中該閘電極插塞包含鎢。
  17. 如請求項16所述之半導體裝置,進一步包含一源極/汲極插塞,其中該源極/汲極插塞包含鈷。
  18. 如請求項15所述之半導體裝置,進一步包含具有一第一高度的一源極/汲極插塞,其中該閘電極具有一第二高度,且其中該第一高度大於該第二高度。
  19. 如請求項15所述之半導體裝置,其中該閘極接觸層包含無氟鎢。
  20. 如請求項15所述之半導體裝置,其中該閘極接觸層包含多個氧化鎢化合物。
TW112106507A 2022-02-24 2023-02-22 半導體裝置及製造方法 TW202347431A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/652,398 US20230268223A1 (en) 2022-02-24 2022-02-24 Semiconductor devices and methods of manufacture
US17/652,398 2022-02-24

Publications (1)

Publication Number Publication Date
TW202347431A true TW202347431A (zh) 2023-12-01

Family

ID=86744481

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112106507A TW202347431A (zh) 2022-02-24 2023-02-22 半導體裝置及製造方法

Country Status (3)

Country Link
US (1) US20230268223A1 (zh)
CN (1) CN116266538A (zh)
TW (1) TW202347431A (zh)

Also Published As

Publication number Publication date
CN116266538A (zh) 2023-06-20
US20230268223A1 (en) 2023-08-24

Similar Documents

Publication Publication Date Title
US20220367667A1 (en) Contact with a Silicide Region
TWI738795B (zh) 半導體裝置與半導體裝置形成方法
US11295956B2 (en) Selective formation of titanium silicide and titanium nitride by hydrogen gas control
US11652005B2 (en) Semiconductor device with cut metal gate and method of manufacture
CN109390235B (zh) 半导体结构及其形成方法
TWI734228B (zh) 積體電路裝置及其製造方法
US11158545B2 (en) Methods of forming isolation features in metal gates
TW201735250A (zh) 製作半導體元件之方法
US20230343648A1 (en) Semiconductor Device and Method
TWI740459B (zh) 半導體裝置的製造方法
TWI728542B (zh) 半導體裝置的製造方法
US11257911B2 (en) Sacrificial layer for semiconductor process
US20230268223A1 (en) Semiconductor devices and methods of manufacture
TW202044346A (zh) 半導體裝置結構的製造方法
US20230047598A1 (en) Semiconductor devices and methods of manufacture
US20230178361A1 (en) Semiconductor Devices and Methods of Manufacture
US20230138136A1 (en) NanoStructure Field-Effect Transistor Device and Methods of Forming
KR102447135B1 (ko) 트랜지스터 내의 규화물 구조물 및 형성하는 방법
US20230044771A1 (en) Self-Aligned Contact Hard Mask Structure of Semiconductor Device and Method of Forming Same
TW202416360A (zh) 半導體裝置及其形成方法