TW202341292A - Method for reworking semiconductor device - Google Patents

Method for reworking semiconductor device Download PDF

Info

Publication number
TW202341292A
TW202341292A TW111119905A TW111119905A TW202341292A TW 202341292 A TW202341292 A TW 202341292A TW 111119905 A TW111119905 A TW 111119905A TW 111119905 A TW111119905 A TW 111119905A TW 202341292 A TW202341292 A TW 202341292A
Authority
TW
Taiwan
Prior art keywords
layer
mask layer
hard mask
underfill
dielectric
Prior art date
Application number
TW111119905A
Other languages
Chinese (zh)
Other versions
TWI840818B (en
Inventor
潘威禎
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/709,821 external-priority patent/US20230317468A1/en
Priority claimed from US17/709,569 external-priority patent/US20230317512A1/en
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Publication of TW202341292A publication Critical patent/TW202341292A/en
Application granted granted Critical
Publication of TWI840818B publication Critical patent/TWI840818B/en

Links

Images

Abstract

The present application provides a method for reworking a failed hard mask layer on a via opening in a dielectric layer, including removing the failed hard mask layer; forming an underfill layer to fill the via opening; forming a top hard mask layer on the underfill layer; and forming a mask layer on the top hard mask layer.

Description

半導體元件的重工方法Reworking methods for semiconductor components

本申請案主張美國第17/709,569及17/709,821號專利申請案之優先權(即優先權日為「2022年3月31日」),其內容以全文引用之方式併入本文中。This application claims priority to U.S. Patent Application Nos. 17/709,569 and 17/709,821 (that is, the priority date is "March 31, 2022"), the contents of which are incorporated herein by reference in their entirety.

本揭露關於一種半導體元件的重工方法。The present disclosure relates to a method of remanufacturing semiconductor components.

半導體元件用於各種電子應用,如個人電腦、行動電話、數位相機以及其他電子裝置。半導體元件的尺寸正在不斷縮小,以滿足日益增長的計算能力的需求。然而,在縮小尺寸的過程中出現各種問題,而且這種問題在不斷增加。因此,在實現提高品質、產量、性能與可靠性以及降低複雜性方面仍然存在挑戰。Semiconductor components are used in various electronic applications such as personal computers, mobile phones, digital cameras, and other electronic devices. Semiconductor components are continuously shrinking in size to meet growing demands for computing power. However, various problems arise during the downsizing process, and such problems are increasing. Therefore, challenges remain in achieving improvements in quality, throughput, performance and reliability, and in reducing complexity.

上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。The above description of "prior art" is only to provide background technology, and does not admit that the above description of "prior art" reveals the subject matter of the present disclosure. It does not constitute prior art of the present disclosure, and any description of the above "prior art" None should form any part of this case.

本揭露的一個方面提供一種半導體元件的製備方法,包括提供一基底;在該基底上形成一介電質層;以該第一遮罩層做為遮罩在該介電質層中形成一通孔開口;形成一不合格硬遮罩層以填充該通孔口;在該不合格硬遮罩層上形成一第二遮罩層;去除該第二遮罩層與該不合格硬遮罩層。形成一底層填充層以填充該通孔開口;在該底層填充層上形成一頂部硬遮罩層;在該頂部硬遮罩層上形成一第三遮罩層;以該第三遮罩層做為遮罩對該頂部硬遮罩層定圖形(patterning);以該頂部硬遮罩層做為遮罩在該介電質層中形成一溝渠開口;以及在該通孔開口中形成一通孔並在該溝渠開口中形成一溝渠。One aspect of the present disclosure provides a method for manufacturing a semiconductor device, including providing a substrate; forming a dielectric layer on the substrate; using the first mask layer as a mask to form a through hole in the dielectric layer opening; forming an unqualified hard mask layer to fill the through hole; forming a second mask layer on the unqualified hard mask layer; removing the second mask layer and the unqualified hard mask layer. Form an underfill layer to fill the via opening; form a top hard mask layer on the underfill layer; form a third mask layer on the top hard mask layer; use the third mask layer as Patterning the top hard mask layer for masking; forming a trench opening in the dielectric layer using the top hard mask layer as a mask; and forming a via hole in the via opening and A trench is formed in the trench opening.

本揭露的另一個方面提供一種半導體元件的製備方法,包括提供一基底;在該基底上形成一介電質層;以一第一遮罩層做為遮罩在該介電質層中形成一通孔開口;形成一不合格硬遮罩層以填充該通孔開口;在該不合格硬遮罩層上形成一第二遮罩層;去除該第二遮罩層;執行一重塗製程,將該不合格硬遮罩層變成一底部填充層;在該底部填充層上形成一頂部硬遮罩層;在該頂部硬遮罩層上形成一第三遮罩層;以該第三遮罩層做為遮罩對該頂部硬遮罩層定圖形(patterning);以該頂部硬遮罩層做為遮罩在該介電質層中形成一溝渠開口;以及在該通孔開口中形成一通孔並在該溝渠開口中形成一溝渠。Another aspect of the present disclosure provides a method for manufacturing a semiconductor device, which includes providing a substrate; forming a dielectric layer on the substrate; using a first mask layer as a mask to form a via in the dielectric layer. hole opening; forming an unqualified hard mask layer to fill the through hole opening; forming a second mask layer on the unqualified hard mask layer; removing the second mask layer; performing a recoating process to The unqualified hard mask layer becomes a bottom filling layer; a top hard mask layer is formed on the bottom filling layer; a third mask layer is formed on the top hard mask layer; the third mask layer is used as Patterning the top hard mask layer for masking; forming a trench opening in the dielectric layer using the top hard mask layer as a mask; and forming a via hole in the via opening and A trench is formed in the trench opening.

本揭露的另一個方面提供一種半導體元件之重工方法。該重工方法包括:從一介電質層中的一通孔開口上去除一不合格硬遮罩層;形成一底層填充層以填充該通孔開口;在該底層填充層上形成一頂部硬遮罩層;以及在該頂部硬遮罩層上形成一遮罩層。Another aspect of the present disclosure provides a method of remanufacturing semiconductor devices. The rework method includes: removing a defective hard mask layer from a via opening in a dielectric layer; forming an underfill layer to fill the via opening; forming a top hard mask on the underfill layer layer; and forming a mask layer on the top hard mask layer.

本揭露的另一個方面提供一種半導體元件之重工方法。該重工方法包括:執行一重塗製程,將一介電質層中的一通孔開口上的一不合格硬遮罩層轉變成一底部填充層;在該底部填充層上形成一頂部硬遮罩層;以及在該頂部硬遮罩層上形成一遮罩層。Another aspect of the present disclosure provides a method of remanufacturing semiconductor devices. The reworking method includes: performing a recoating process to convert an unqualified hard mask layer on a via opening in a dielectric layer into an underfill layer; forming a top hard mask layer on the underfill layer; and forming a mask layer on the top hard mask layer.

由於本揭露的半導體元件製備方法的設計,藉由採用底層填充層或底部填充層,可以減輕或避免通孔開口的擴大及/或對通孔開口輪廓的破壞。因此,半導體元件的產量及/或可靠性可以得到改善。Due to the design of the semiconductor device manufacturing method of the present disclosure, by using an underfill layer or an underfill layer, the expansion of the via opening and/or the damage to the profile of the via opening can be reduced or avoided. Therefore, the yield and/or reliability of the semiconductor device can be improved.

上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或過程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。The technical features and advantages of the present disclosure have been summarized rather broadly above so that the detailed description of the present disclosure below may be better understood. Other technical features and advantages that constitute the subject matter of the patentable scope of the present disclosure will be described below. It should be understood by those of ordinary skill in the art that the concepts and specific embodiments disclosed below can be readily used to modify or design other structures or processes to achieve the same purposes of the present disclosure. Those with ordinary knowledge in the technical field to which the present disclosure belongs should also understand that such equivalent constructions cannot depart from the spirit and scope of the present disclosure as defined in the appended patent application scope.

下面的揭露內容提供許多不同的實施例,或實例,用於實現所提供主題的不同特徵。為了簡化本揭露內容,下面描述元件與安排的具體例子。當然,這些只是例子,並不意味著是限制性的。例如,在接下來的描述中,第一特徵形成在第二特徵上可以包括第一與第二特徵直接接觸形成的實施例,也可以包括第一與第二特徵之間可以形成附加特徵的實施例,因此使第一與第二特徵可以不直接接觸。此外,本揭露內容可能會在各實施例中重複參考數字及/或字母。這種重複是為了簡單明瞭,其本身並不決定所討論的各種實施例及/或配置之間的關係。The following disclosure provides many different embodiments, or examples, for implementing different features of the presented subject matter. To simplify the present disclosure, specific examples of components and arrangements are described below. Of course, these are just examples and are not meant to be limiting. For example, in the following description, the first feature formed on the second feature may include an embodiment in which the first and second features are formed in direct contact, or may include an embodiment in which additional features may be formed between the first and second features. For example, the first and second features may not be in direct contact. Additionally, reference numbers and/or letters may be repeated in various embodiments of this disclosure. This repetition is for simplicity and clarity and does not by itself determine the relationship between the various embodiments and/or configurations discussed.

此外,空間相對用語,如”下"、"下面"、"下方"、"上"、"上面”等,為了便於描述,在此可用於描述一個元素或特徵與圖中所示的另一個(些)元素或特徵的關係。空間上的相對用語旨在包括元件在使用或操作中的不同方向,以及圖中描述的方向。該元件可以有其他方向(旋轉90度或其他方向),這裡使用的空間相對描述詞也同樣可以相應地解釋。In addition, spatially relative terms, such as "under", "below", "below", "on", "above", etc., for convenience of description, may be used here to describe one element or feature relative to another as shown in the figure ( some) elements or characteristics. Spatially relative terms are intended to encompass various orientations of elements in use or operation, as well as the orientation depicted in the figures. The element may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

應該理解的是,當一個元素或層被稱為”連接到”或”耦合到”另一個元素或層時,它可以直接連接到或耦合到另一個元素或層,或者可能存在中間的元素或層。It will be understood that when an element or layer is referred to as being "connected" or "coupled to" another element or layer, it can be directly connected or coupled to the other element or layer, or intervening elements or layers may be present. layer.

應該理解的是,儘管這裡以用語第一、第二等來描述各種元素,但這些元素不應受到這些用語的限制。除非另有說明,這些用語僅用於區分一個元素與另一個元素。因此,例如,下面討論的第一元素、第一元件或第一部分可以稱為第二元素、第二元件或第二部分,而不偏離本揭露內容的教導。It will be understood that, although the terms first, second, etc. are used herein to describe various elements, these elements should not be limited by these terms. Unless otherwise stated, these terms are only used to distinguish one element from another. Thus, for example, a first element, element or section discussed below could be termed a second element, element or section without departing from the teachings of the present disclosure.

除非上下文另有說明,本文在提到方向、佈局、位置、形狀、大小、數量或其他措施時,使用的用語如”相同"、"相等"、"平面”或”共面",不一定是指完全相同的方向、佈局、位置、形狀、大小、數量或其他措施,而是指在可能發生的、例如由於製造過程而發生的可接受的變化範圍內,包括幾乎相同的方向、佈局、位置、形狀、大小、數量或其他措施。用語”實質上”在這裡可以用來反映此含義。例如,被描述為”實質上相同"、"實質上相等”或”實質上平面”的項目可以是完全相同、相等或平面的,也可以是在可接受的變化範圍內相同、相等或平面的,例如由於製造過程而可能發生的變化。Unless the context indicates otherwise, terms such as "same", "equal", "planar" or "coplanar" are used herein when referring to orientation, layout, location, shape, size, quantity or other measures, and not necessarily means exactly the same orientation, layout, position, shape, size, quantity or other measures, but means almost the same orientation, layout, position within acceptable variations that may occur, for example due to the manufacturing process , shape, size, quantity or other measures. The term "substantially" may be used here to reflect this meaning. For example, items described as "substantially the same," "substantially equal," or "substantially planar" may be exactly the same, equal, or planar, or they may be the same, equal, or planar within acceptable variations. , such as changes that may occur due to the manufacturing process.

在本揭露內容中,半導體元件一般是指利用半導體特性而能發揮作用的元件,光電元件、發光顯示元件、半導體電路與電子元件都包括在半導體元件的範疇內。In this disclosure, semiconductor components generally refer to components that utilize semiconductor characteristics to function. Optoelectronic components, light-emitting display components, semiconductor circuits and electronic components are all included in the category of semiconductor components.

應該指出的是,在本揭露的描述中,上(或上方)對應於方向Z的箭頭方向,下(或下方)對應於方向Z的箭頭的相反方向。It should be noted that in the description of the present disclosure, upper (or upper) corresponds to the direction of the arrow of direction Z, and lower (or lower) corresponds to the opposite direction of the arrow of direction Z.

應該注意的是,用語”形成"、"被形成”與”以形成”可以是指並包括創建、構建、定圖案、植入或沉積元素、摻雜劑或材料的任何方法。形成方法的例子可包括但不限於原子層沉積、化學氣相沉積、物理氣相沉積、濺鍍、共濺鍍、旋塗、擴散、沉積、生長、植入、微影、乾蝕刻與濕蝕刻。It should be noted that the terms "form", "formed" and "to form" may refer to and include any method of creating, building, patterning, implanting or depositing elements, dopants or materials. Examples of formation methods may include, but are not limited to, atomic layer deposition, chemical vapor deposition, physical vapor deposition, sputtering, co-sputtering, spin coating, diffusion, deposition, growth, implantation, lithography, dry etching, and wet etching .

應該注意的是,在本揭露內容的描述中,這裡指出的功能或步驟可能以不同於圖中指出的順序發生。例如,連續顯示的兩個數字事實上可能實質上是同時執行的,或者有時可能以相反的循序執行,這取決於所涉及的功能或步驟。It should be noted that in the description of the present disclosure, the functions or steps noted herein may occur out of the order noted in the figures. For example, two numbers displayed in succession may in fact be executed substantially simultaneously, or may sometimes be executed in reverse order, depending on the functions or steps involved.

圖1是流程圖,例示本揭露一個實施例之半導體元件1A的製備方法10。圖2至圖12是截面圖,例示本揭露一個實施例之半導體元件1A的製備流程。FIG. 1 is a flow chart illustrating a method 10 for manufacturing a semiconductor device 1A according to an embodiment of the present disclosure. 2 to 12 are cross-sectional views illustrating the manufacturing process of the semiconductor device 1A according to one embodiment of the present disclosure.

參照圖1至圖3,在步驟S11,可以提供基底101,可以在基底101上形成蝕刻停止層103,可以在蝕刻停止層103上形成介電質層105,並且可以形成通孔開口VO以曝露蝕刻停止層103。Referring to FIGS. 1 to 3 , in step S11 , a substrate 101 may be provided, an etching stop layer 103 may be formed on the substrate 101 , a dielectric layer 105 may be formed on the etching stop layer 103 , and a via opening VO may be formed to expose Etch stop layer 103.

參照圖2,基底101可以包括完全由至少一種半導體材料組成的塊狀(bulk)半導體基底、複數個元件單元(為清晰起見未顯示)、複數個介電質層(為清晰起見未顯示)以及複數個導電特徵(為清晰起見未顯示)。塊狀半導體基底的製作技術可包含,例如,元素(elementary)半導體,如矽或鍺;化合物半導體,如矽鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦,或其他III-V族化合物半導體或II-VI族化合物半導體;或其組合。Referring to FIG. 2 , the substrate 101 may include a bulk semiconductor substrate composed entirely of at least one semiconductor material, a plurality of component units (not shown for the sake of clarity), and a plurality of dielectric layers (not shown for the sake of clarity). ) and a plurality of conductive features (not shown for clarity). The manufacturing technology of the bulk semiconductor substrate may include, for example, elemental semiconductors, such as silicon or germanium; compound semiconductors, such as silicon germanium, silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and antimony Indium, or other III-V compound semiconductors or II-VI compound semiconductors; or combinations thereof.

在一些實施例中,基底101可以包括絕緣體上的半導體結構,該結構從下到上包括處理基底、絕緣體層以及最上面的半導體材料層。處理基底與最上面的半導體材料層的製作技術可以包含與上述塊狀半導體基底相同的材料。絕緣體層可以是結晶或非結晶的介電質材料,如氧化物及/或氮化物。例如,絕緣體層可以是一種介電質氧化物,如氧化矽。又例如,絕緣體層可以是介電氮化物,如氮化矽或氮化硼。再例如,絕緣體層可以包括介電質氧化物與介電質氮化物的堆疊,如按任何順序,氧化矽與氮化矽或氮化硼的堆疊。絕緣體層的厚度可以在10奈米與200奈米之間。In some embodiments, substrate 101 may include a semiconductor-on-insulator structure that includes, from bottom to top, a processing substrate, an insulator layer, and an uppermost semiconductor material layer. The fabrication techniques for the handle substrate and uppermost semiconductor material layer may include the same materials as the bulk semiconductor substrate described above. The insulator layer may be a crystalline or amorphous dielectric material, such as oxide and/or nitride. For example, the insulator layer may be a dielectric oxide such as silicon oxide. As another example, the insulator layer may be a dielectric nitride, such as silicon nitride or boron nitride. As another example, the insulator layer may include a stack of dielectric oxide and dielectric nitride, such as silicon oxide and silicon nitride or boron nitride, in any order. The thickness of the insulator layer can be between 10 nanometers and 200 nanometers.

應該注意的是,用語”約”修改所採用的成分、組成或反應物的數量是指可能發生的數字數量的變化,例如,透過用於製造濃縮物或溶液的典型測量與液體處理程序。此外,測量程序中的疏忽錯誤、用於製造組合物或執行方法的成分的製造、來源或純度的差異等都可能產生變化。在一個方面,用語”約”是指報告數值的10%以內。在另一個方面,用語”約”是指報告數值的5%以內。然而,在另一個方面,用語”約”是指報告數值的10、9、8、7、6、5、4、3、2或1%以內。It should be noted that the term "about" modifies the amount of an ingredient, composition, or reactant employed to refer to changes in the numerical amount that may occur, for example, through typical measurement and liquid handling procedures used to make concentrates or solutions. In addition, variations may arise from inadvertent errors in measurement procedures, differences in the manufacture, source or purity of the ingredients used to make the compositions or perform the methods. In one aspect, the term "about" means within 10% of the reported value. On the other hand, the term "about" means within 5% of the reported value. However, in another aspect, the term "about" means within 10, 9, 8, 7, 6, 5, 4, 3, 2 or 1% of the reported value.

參照圖2,複數個元件單元可以形成在塊狀半導體基底或最上面的半導體材料層上。複數個元件單元的一些部分可以形成在塊狀半導體基底或最上面的半導體材料層中。複數個元件單元可以是電晶體,如互補金屬氧化物半導體電晶體、金屬氧化物半導體場效應電晶體、鰭狀場效應電晶體等,或其組合。Referring to FIG. 2 , a plurality of element units may be formed on a bulk semiconductor substrate or an uppermost semiconductor material layer. Portions of the plurality of component units may be formed in a bulk semiconductor substrate or an uppermost layer of semiconductor material. The plurality of element units may be transistors, such as complementary metal oxide semiconductor transistors, metal oxide semiconductor field effect transistors, fin field effect transistors, etc., or combinations thereof.

參照圖2,複數個介電質層可以形成在塊狀半導體基底或最上面的半導體材料層上,並覆蓋複數個元件單元。在一些實施例中,複數個介電質層的製作技術可以包含,例如,氧化矽、硼磷酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、低k(介電常數)介電質材料等,或其組合。低k介電質材料的介電常數可以小於3.0或甚至小於2.5。在一些實施例中,低k介電質材料的介電常數可以小於2.0。複數個介電質層的製作技術可以包含沉積製程,如化學氣相沉積、電漿增強化學氣相沉積或類似製程。沉積製製程之後可以執行執行平坦化製程,以去除多餘的材料,並為後續的製程步驟提供一個實質上平整的表面。Referring to FIG. 2 , a plurality of dielectric layers may be formed on a bulk semiconductor substrate or an uppermost semiconductor material layer, and cover a plurality of component units. In some embodiments, the manufacturing technology of the plurality of dielectric layers may include, for example, silicon oxide, borophosphate glass, undoped silicate glass, fluorosilicate glass, low-k (dielectric constant ) dielectric materials, etc., or combinations thereof. The dielectric constant of low-k dielectric materials can be less than 3.0 or even less than 2.5. In some embodiments, the dielectric constant of the low-k dielectric material may be less than 2.0. The manufacturing technology of the plurality of dielectric layers may include a deposition process, such as chemical vapor deposition, plasma enhanced chemical vapor deposition, or similar processes. The deposition process may be followed by a planarization process to remove excess material and provide a substantially flat surface for subsequent process steps.

參照圖2,複數個導電特徵可以包括互連層與導電通孔。互連層可以相互分離,並可以沿Z方向水平設置於複數個介電質層中。導電通孔可以沿Z方向連接相鄰的互連層,以及相鄰的元件單元與互連層。在一些實施例中,導電通孔可改善散熱,並可提供結構支撐。在一些實施例中,複數個導電特徵的製作技術可包含,例如,鎢、鈷、鋯、鉭、鈦、鋁、釕、銅、金屬碳化物(例如碳化鉭、碳化鈦、碳化鉭鎂)、金屬氮化物(例如氮化鈦)、過渡金屬鋁化物或其組合。在形成複數個介電質層的製程期間,可以形成複數個導電特徵。Referring to Figure 2, the plurality of conductive features may include interconnect layers and conductive vias. The interconnection layers can be separated from each other and can be horizontally disposed in a plurality of dielectric layers along the Z direction. Conductive vias can connect adjacent interconnect layers, as well as adjacent component units and interconnect layers along the Z direction. In some embodiments, conductive vias may improve heat dissipation and may provide structural support. In some embodiments, fabrication techniques for the plurality of conductive features may include, for example, tungsten, cobalt, zirconium, tantalum, titanium, aluminum, ruthenium, copper, metal carbides (eg, tantalum carbide, titanium carbide, tantalum magnesium carbide), Metal nitrides (eg titanium nitride), transition metal aluminides, or combinations thereof. During the process of forming a plurality of dielectric layers, a plurality of conductive features may be formed.

在一些實施例中,複數個元件單元與複數個導電特徵可以共同配置基底101中的功能單元。在本揭露內容的描述中,功能單元一般是指與功能相關的電路,它已被劃分為一個獨立的單元。在一些實施例中,功能單元通常可以是高度複雜的電路,如處理器核心、記憶體控制器或加速器單元。在其他一些實施例中,功能單元的複雜性與功能可以更複雜或更不複雜。In some embodiments, a plurality of element units and a plurality of conductive features may jointly configure functional units in the substrate 101 . In the description of this disclosure, a functional unit generally refers to a function-related circuit that has been divided into an independent unit. In some embodiments, functional units may typically be highly complex circuits such as processor cores, memory controllers, or accelerator units. In other embodiments, the complexity and functionality of the functional units may be more complex or less complex.

參照圖2,蝕刻停止層103可以形成在基底101上。蝕刻停止層103的製作技術可以包含優選地由具有與基底101的最上層(例如,介電質層)不同的蝕刻選擇性的介電質材料。例如,蝕刻停止層103的製作技術可包含碳化矽、氧碳化矽或類似材料,並可藉由化學氣相沉積或電漿增強化學氣相沉積來沉積。在本實施例中,蝕刻停止層103的製作技術包含氮化矽。在一些實施例中,蝕刻停止層103的厚度T1可以在約30奈米至約40奈米之間,或約35奈米。Referring to FIG. 2 , an etch stop layer 103 may be formed on the substrate 101 . The fabrication technique of the etch stop layer 103 may include preferably being made of a dielectric material having a different etch selectivity than the uppermost layer (eg, dielectric layer) of the substrate 101 . For example, the fabrication technology of the etch stop layer 103 may include silicon carbide, silicon oxycarbide or similar materials, and may be deposited by chemical vapor deposition or plasma enhanced chemical vapor deposition. In this embodiment, the manufacturing technology of the etching stop layer 103 includes silicon nitride. In some embodiments, the thickness T1 of the etch stop layer 103 may be between about 30 nanometers and about 40 nanometers, or about 35 nanometers.

參照圖2,介電質層105可以形成在蝕刻停止層103上,並且製作技術可以包含,例如,二氧化矽、未摻雜的矽酸鹽玻璃、氟矽酸鹽玻璃、硼磷矽酸鹽玻璃、自旋式低k介電質層、化學氣相沉積低k介電質層或其組合。在一些實施例中,介電質層105可以包括自平坦化材料,如自旋玻璃或自旋低k介電質材料,如SiLK™。使用自平坦化的介電質材料可以避免執行後續平坦化步驟的需要。在一些實施例中,介電質層105的製作技術可以包含沉積製程,例如,化學氣相沉積、電漿增強化學氣相沉積、蒸鍍或旋塗。在一些實施例中,可以執行平坦化製程,例如化學機械研磨,以便為後續製程步驟提供一個實質上平整的表面。在本實施例中,介電質層105的製作技術包含二氧化矽。Referring to FIG. 2 , dielectric layer 105 may be formed on etch stop layer 103 , and fabrication techniques may include, for example, silicon dioxide, undoped silicate glass, fluorosilicate glass, borophosphosilicate Glass, spin-on low-k dielectric layer, chemical vapor deposited low-k dielectric layer, or combinations thereof. In some embodiments, dielectric layer 105 may include a self-planarizing material such as spin glass or a spin low-k dielectric material such as SiLK™. The use of self-planarizing dielectric materials avoids the need for subsequent planarization steps. In some embodiments, the manufacturing technology of the dielectric layer 105 may include a deposition process, such as chemical vapor deposition, plasma enhanced chemical vapor deposition, evaporation, or spin coating. In some embodiments, a planarization process, such as chemical mechanical polishing, may be performed to provide a substantially planar surface for subsequent process steps. In this embodiment, the manufacturing technology of the dielectric layer 105 includes silicon dioxide.

參照圖2,在介電質層105上可以形成第一遮罩層401。第一遮罩層401可以是,例如,光阻層。第一遮罩層401可以經定圖形(pattern)以定義通孔開口VO的位置。Referring to FIG. 2 , a first mask layer 401 may be formed on the dielectric layer 105 . The first mask layer 401 may be, for example, a photoresist layer. The first mask layer 401 may be patterned to define the location of the via opening VO.

參照圖3,可以執行通孔蝕刻製程,以去除介電質層105的一部分並同時形成通孔開口VO。通孔蝕刻製程中介電質層105的蝕刻速率可以比通孔蝕刻製程中蝕刻停止層103的蝕刻速率快。例如,在通孔蝕刻製程中,介電質層105與蝕刻停止層103的蝕刻速率比可在約100:1至約1.05:1之間。又例如,在通孔蝕刻製程中,介電質層105與蝕刻停止層103的蝕刻速率比可以在約100:1至約10:1之間。蝕刻停止層103的一部分可以透過介電質層105曝露。Referring to FIG. 3 , a via etching process may be performed to remove a portion of the dielectric layer 105 and simultaneously form the via opening VO. The etching rate of the dielectric layer 105 during the via etching process may be faster than the etching rate of the etch stop layer 103 during the via etching process. For example, during the via etching process, the etch rate ratio of the dielectric layer 105 to the etch stop layer 103 may be between about 100:1 and about 1.05:1. For another example, in the via etching process, the etching rate ratio of the dielectric layer 105 and the etching stop layer 103 may be between about 100:1 and about 10:1. A portion of the etch stop layer 103 may be exposed through the dielectric layer 105 .

參照圖1與圖4,在步驟S13,可以形成不合格硬遮罩層501以填充通孔開口VO,並且可以在不合格硬遮罩層501上形成第二遮罩層403。Referring to FIGS. 1 and 4 , in step S13 , a defective hard mask layer 501 may be formed to fill the via opening VO, and a second mask layer 403 may be formed on the defective hard mask layer 501 .

參照圖4,不合格硬遮罩層501可以藉由製作技術包含,例如,旋塗或其他適合的製程以填充通孔開口VO。在一些實施例中,不合格硬遮罩層501的製作技術可以包含具有稍快蝕刻速率的材料(相對於介電質層105的材料)。在一些實施例中,不合格硬遮罩層501可以是,例如,由Rohm and Haas Electronic Materials(Phoenix, Ariz.)商業提供的AR 40抗反射劑。在一些實施例中,不合格硬遮罩層501從介電質層105的頂部表面測量的厚度T2可以在約30奈米至約50奈米之間。在一些實施例中,不合格硬遮罩層501的製作技術可以包含,例如,氮化矽、氮氧化矽(silicon oxynitride)或氧化氮化矽(silicon nitride oxide)。Referring to FIG. 4 , the defective hard mask layer 501 can be filled with the via opening VO by manufacturing techniques including, for example, spin coating or other suitable processes. In some embodiments, the fabrication technique of the failed hard mask layer 501 may include a material with a slightly faster etch rate (relative to the material of the dielectric layer 105 ). In some embodiments, the off-spec hard mask layer 501 may be, for example, AR 40 antireflective agent commercially available from Rohm and Haas Electronic Materials (Phoenix, Ariz.). In some embodiments, the thickness T2 of the failed hard mask layer 501 measured from the top surface of the dielectric layer 105 may be between about 30 nanometers and about 50 nanometers. In some embodiments, the fabrication technology of the failed hard mask layer 501 may include, for example, silicon nitride, silicon oxynitride, or silicon nitride oxide.

應該注意的是,不合格硬遮罩層501是,例如,尺寸不合規格的不合格硬遮罩層501、產生圖案偏差的不合格硬遮罩層501、或者包括缺陷的不合格硬遮罩層501,如圖4中所示的通孔開口VO正上方的凹槽501R。不合格硬遮罩層501可對所得半導體元件1A的產量與可靠性造成不利影響。因此,不合格硬遮罩層501需要進一步處理以避免或減輕這種影響。It should be noted that the defective hard mask layer 501 is, for example, a defective hard mask layer 501 that is out of specification, a defective hard mask layer 501 that produces pattern deviation, or a defective hard mask layer that includes defects. 501, a groove 501R directly above the via opening VO as shown in Figure 4. Substandard hard mask layer 501 may adversely affect the yield and reliability of the resulting semiconductor device 1A. Therefore, the failed hard mask layer 501 requires further processing to avoid or mitigate this effect.

參照圖4,第二遮罩層403可以形成在不合格硬遮罩層501上。在一些實施例中,第二遮罩層403的厚度T3可以在約180奈米至約220奈米之間。第二遮罩層403可以包括溝渠開口TO(如圖9所示)的圖案。Referring to FIG. 4 , a second mask layer 403 may be formed on the defective hard mask layer 501 . In some embodiments, the thickness T3 of the second mask layer 403 may be between about 180 nanometers and about 220 nanometers. The second mask layer 403 may include a pattern of trench openings TO (as shown in FIG. 9 ).

參照圖1、圖5與圖6,在步驟S15,可以去除第二遮罩層403與不合格硬遮罩層501。Referring to Figures 1, 5 and 6, in step S15, the second mask layer 403 and the unqualified hard mask layer 501 can be removed.

參照圖5,第二遮罩層403與不合格硬遮罩層501的去除技術可以包含,例如,灰化製程、蝕刻製程、其他適合的製程或其組合。可以選擇地執行清洗製程,以去除在第二遮罩層403與不合格硬遮罩層501的去除期間形成的任何殘留物。Referring to FIG. 5 , the removal technology of the second mask layer 403 and the unqualified hard mask layer 501 may include, for example, an ashing process, an etching process, other suitable processes, or a combination thereof. A cleaning process may optionally be performed to remove any residue formed during removal of the second mask layer 403 and the failed hard mask layer 501 .

參照圖1、圖6與圖7,在步驟S17,可以形成底層填充層201以填充通孔開口VO,可以在底層填充層201上形成頂部硬遮罩層205,並且可以在頂部硬遮罩層205上形成第三遮罩層405。Referring to FIGS. 1, 6 and 7, in step S17, an underfill layer 201 may be formed to fill the via opening VO, a top hard mask layer 205 may be formed on the underfill layer 201, and a top hard mask layer 205 may be formed on the underfill layer 201. A third mask layer 405 is formed on 205.

參照圖6,底層填充層201的製作技術可以包含,例如,旋塗、化學氣相沉積或其他適合的沉積製程,以填充通孔開口VO。在一些實施例中,底層填充層201的製作技術可以包含,例如,碳化矽、氧碳化矽或類似材料。在一些實施例中,底層填充層201的製作技術可以包含具有稍快蝕刻速率的材料(相對於介電質層105的材料)。在一些實施例中,底層填充層201可以包含碳與氫。在一些實施例中,底層填充層201可以包含碳、氫與氧。在一些實施例中,底層填充層201可以包含碳、氫與氟。在一些實施例中,底層填充層201可以是一種碳膜。用語”碳膜”在此用於描述質量主要為碳的材料,其結構主要由碳原子定義,或其物理與化學性能由其碳含量主導。用語”碳膜”的意思是排除那些僅僅是包括碳的混合物或化合物的材料,例如介電質材料,如碳摻雜的氮氧化矽、碳摻雜的氧化矽或碳摻雜的多晶矽。Referring to FIG. 6 , the manufacturing technology of the underfill layer 201 may include, for example, spin coating, chemical vapor deposition or other suitable deposition processes to fill the via opening VO. In some embodiments, the fabrication technology of the underfill layer 201 may include, for example, silicon carbide, silicon oxycarbide, or similar materials. In some embodiments, the fabrication technique of underfill layer 201 may include a material with a slightly faster etch rate (relative to the material of dielectric layer 105 ). In some embodiments, underfill layer 201 may contain carbon and hydrogen. In some embodiments, underfill layer 201 may include carbon, hydrogen, and oxygen. In some embodiments, underfill layer 201 may include carbon, hydrogen, and fluorine. In some embodiments, underfill layer 201 may be a carbon film. The term "carbon film" is used here to describe a material whose mass is primarily carbon, whose structure is defined primarily by carbon atoms, or whose physical and chemical properties are dominated by its carbon content. The term "carbon film" is meant to exclude materials that consist solely of mixtures or compounds of carbon, such as dielectric materials such as carbon-doped silicon oxynitride, carbon-doped silicon oxide, or carbon-doped polycrystalline silicon.

在一些實施例中,從介電質層105的頂部表面測量的底層填充層201的厚度T4可在約180奈米至約220奈米之間。In some embodiments, the thickness T4 of the underfill layer 201 measured from the top surface of the dielectric layer 105 may be between about 180 nanometers and about 220 nanometers.

當低k材料用於介電質層(例如介電質層105)時,難以產生幾乎沒有表面缺陷或特徵變形的特徵。經觀察,低k介電質材料通常是多孔的,在製程期間容易被刮傷與損壞,因此導致在其表面形成缺陷。此外,低k材料通常是脆性的,在習用的研磨製程中可能會變形。限制或減少表面缺陷與變形的一個解決方案是,在對低k材料執行定圖形與蝕刻特徵定義之前,在曝露的低k材料上沉積硬遮罩層。硬遮罩層可以抵擋損壞與變形。在隨後的材料沉積與平坦化或材料去除製程期間,如化學機械研磨技術或蝕刻技術,硬遮罩層也可以保護下面的低k材料,因此減少缺陷的形成與特徵變形。然後,硬遮罩層可以在後續製程之前,藉由後續的平坦化製程去除。When low-k materials are used for dielectric layers, such as dielectric layer 105, it is difficult to create features with few surface defects or feature deformations. It has been observed that low-k dielectric materials are usually porous and are easily scratched and damaged during the manufacturing process, thus causing defects to form on their surfaces. Additionally, low-k materials are often brittle and may deform during conventional grinding processes. One solution to limit or reduce surface defects and deformations is to deposit a hard mask layer over the exposed low-k material before patterning and etching feature definition into the low-k material. Hard mask layers resist damage and distortion. The hard mask layer also protects the underlying low-k material during subsequent material deposition and planarization or material removal processes, such as chemical mechanical polishing techniques or etching techniques, thereby reducing defect formation and feature distortion. The hard mask layer can then be removed by a subsequent planarization process before subsequent processes.

參照圖7,頂部硬遮罩層205可以形成在底層填充層201上。在一些實施例中,頂部硬遮罩層205的厚度T5可在約10奈米至約100奈米之間,或約10奈米至約50奈米之間。在一些實施例中,頂部硬遮罩層205的製作技術可包含,例如,矽、矽鍺、正矽酸四乙酯、氮化矽、氮氧化矽、氧化氮化矽、碳化矽等,或其組合。頂部硬遮罩層205的製作技術可以包含沉積製程,如化學氣相沉積、電漿增強化學氣相沉積、原子層沉積等。形成頂部硬遮罩層205的製程溫度可以低於400℃。在一些實施例中,頂部硬遮罩層205的製作技術可以包含,例如,金屬氮化物,如氮化鈦與氮化鉭。Referring to FIG. 7 , a top hard mask layer 205 may be formed on the underfill layer 201 . In some embodiments, the thickness T5 of the top hard mask layer 205 may be between about 10 nanometers and about 100 nanometers, or between about 10 nanometers and about 50 nanometers. In some embodiments, the manufacturing technology of the top hard mask layer 205 may include, for example, silicon, silicon germanium, tetraethyl orthosilicate, silicon nitride, silicon oxynitride, silicon nitride oxide, silicon carbide, etc., or its combination. The manufacturing technology of the top hard mask layer 205 may include deposition processes, such as chemical vapor deposition, plasma enhanced chemical vapor deposition, atomic layer deposition, etc. The process temperature for forming the top hard mask layer 205 can be lower than 400°C. In some embodiments, the fabrication technology of the top hard mask layer 205 may include, for example, metal nitrides such as titanium nitride and tantalum nitride.

或者,在一些實施例中,頂部硬遮罩層205的製作技術可以包含,例如,氮化硼、氮化矽、氮化磷硼、氮化硼碳矽或類似材料。頂部硬遮罩層205的製作技術可以包含成膜製程與處理製程。詳細地說,在成膜製程中,可在底層填充層201上引入第一前趨物,該前趨物可以是硼基前趨物,以形成硼基層。隨後,在處理製程中,可以引入第二前趨物,可以是氮基前趨物,與硼基層反應,將硼基層變成頂部硬遮罩層205。在一些實施例中,第一前趨物可以是,例如,乙硼烷、環硼氮或環硼氮烷的烷基取代衍生物。在一些實施例中,第二前趨物可以是,例如,氨或肼。Alternatively, in some embodiments, the fabrication technology of the top hard mask layer 205 may include, for example, boron nitride, silicon nitride, boron phosphorus nitride, boron nitride silicon carbon, or similar materials. The manufacturing technology of the top hard mask layer 205 may include a film forming process and a processing process. In detail, during the film formation process, a first precursor may be introduced on the underfill layer 201, and the precursor may be a boron-based precursor to form a boron-based layer. Subsequently, during the processing process, a second precursor, which may be a nitrogen-based precursor, may be introduced to react with the boron-based layer to turn the boron-based layer into the top hard mask layer 205 . In some embodiments, the first precursor may be, for example, diborane, borazine, or an alkyl substituted derivative of borazane. In some embodiments, the second precursor may be, for example, ammonia or hydrazine.

在對第三遮罩層405定圖形期間,頂部硬遮罩層205可以做為抗反射塗層,以提高從光罩(未顯示)到頂部硬遮罩層205的圖像傳輸的品質。During patterning of the third mask layer 405, the top hard mask layer 205 may act as an anti-reflective coating to improve the quality of image transmission from the photomask (not shown) to the top hard mask layer 205.

參照圖7,第三遮罩層405可以藉由包含微影製程的製作技術形成在頂部硬遮罩層205上。第三遮罩層405可以包括溝渠開口TO的圖案。在一些實施例中,第三遮罩層405可以是光阻,如市售的光阻OCG895i、Epic™ 2210 ArF光阻或其他適合的光阻。Referring to FIG. 7 , the third mask layer 405 may be formed on the top hard mask layer 205 by a manufacturing technology including a photolithography process. The third mask layer 405 may include a pattern of trench openings TO. In some embodiments, the third mask layer 405 may be a photoresist, such as commercially available photoresist OCG895i, Epic™ 2210 ArF photoresist, or other suitable photoresist.

包括去除第二遮罩層403與不合格硬遮罩層501、形成底層填充層201、形成遮罩頂部硬遮罩層205、以及形成第三遮罩層405(包括對第三遮罩層405定圖形)的程序可稱為不合格硬遮罩層501的重工(Rework)製程,該製程用於避免不合格硬遮罩層501對所產生的半導體元件1A的不利影響。It includes removing the second mask layer 403 and the unqualified hard mask layer 501, forming the bottom filling layer 201, forming the mask top hard mask layer 205, and forming the third mask layer 405 (including removing the third mask layer 405 The process of determining the pattern) can be called a rework process of the unqualified hard mask layer 501. This process is used to avoid the adverse effects of the unqualified hard mask layer 501 on the produced semiconductor device 1A.

習用的重工製程可能包括例如通孔開口VO的側壁損壞與通孔開口VO的輪廓損壞等問題。相反,藉由採用底層填充層201,通孔開口VO的側壁損傷與通孔開口VO的輪廓損傷可以減少或避免。因此,所產生的半導體元件1A的產量與可靠性可以得到改善。The conventional rework process may include problems such as damage to the side wall of the through hole opening VO and damage to the contour of the through hole opening VO. On the contrary, by using the underfill layer 201, the sidewall damage of the via opening VO and the contour damage of the via opening VO can be reduced or avoided. Therefore, the yield and reliability of the produced semiconductor element 1A can be improved.

參照圖1、圖8與圖9,在步驟S19,可以在介電質層105中形成溝渠開口TO。Referring to FIGS. 1 , 8 and 9 , in step S19 , a trench opening TO may be formed in the dielectric layer 105 .

參照圖8,可執行硬遮罩蝕刻製程以去除部分的頂部硬遮罩層205,並且第三遮罩層405的圖案可轉移到頂部硬遮罩層205,以沿頂部硬遮罩層205形成硬遮罩開口205O。硬遮罩蝕刻製程的頂部硬遮罩層205的蝕刻速率可以比硬遮罩蝕刻製程的底層填充層201的蝕刻速率快。例如,在硬遮罩蝕刻製程期間,頂部硬遮罩層205與底層填充層201的蝕刻速率比可在約100:1至約1.05:1之間。又例如,在硬遮罩蝕刻製程期間,頂部硬遮罩層205與底層填充層201的蝕刻速率比可在約100:1至約10:1之間。底層填充層201的一部分可以透過硬遮罩開口205O曝露。Referring to FIG. 8 , a hard mask etch process may be performed to remove portions of the top hard mask layer 205 , and the pattern of the third mask layer 405 may be transferred to the top hard mask layer 205 to be formed along the top hard mask layer 205 Hard mask opening 205O. The etch rate of the top hard mask layer 205 of the hard mask etch process may be faster than the etch rate of the underfill layer 201 of the hard mask etch process. For example, during the hard mask etch process, the etch rate ratio of the top hard mask layer 205 to the underfill layer 201 may be between about 100:1 and about 1.05:1. As another example, during the hard mask etching process, the etch rate ratio of the top hard mask layer 205 to the underfill layer 201 may be between about 100:1 and about 10:1. A portion of underfill layer 201 may be exposed through hard mask opening 205O.

參照圖9,可以使用頂部硬遮罩層205及/或第三遮罩層405做為遮罩執行溝渠蝕刻製程,以去除介電質層105與底層填充層201的一部分。在一些實施例中,在溝渠蝕刻製程期間,介電質層105與頂部硬遮罩層205的蝕刻速率比可在約100:1至約1.05:1之間或在約100:1至約10:1之間。在溝渠蝕刻製程期間,底層填充層201與頂部硬遮罩層205的蝕刻速率比可在約100:1至約1.05:1之間,或約100:1至約10:1之間。在溝渠蝕刻製程之後,溝渠開口TO可以形成在介電質層105中。應該注意的是,在當前階段,蝕刻停止層103仍可以由通孔開口VO中剩餘的底層填充層201覆蓋。Referring to FIG. 9 , a trench etching process may be performed using the top hard mask layer 205 and/or the third mask layer 405 as a mask to remove portions of the dielectric layer 105 and the underfill layer 201 . In some embodiments, during the trench etch process, the etch rate ratio of the dielectric layer 105 to the top hard mask layer 205 may be between about 100:1 to about 1.05:1 or between about 100:1 to about 10 :1 between. During the trench etch process, the etch rate ratio of the underfill layer 201 to the top hard mask layer 205 may be between about 100:1 and about 1.05:1, or between about 100:1 and about 10:1. After the trench etching process, trench openings TO may be formed in the dielectric layer 105 . It should be noted that at the current stage, the etch stop layer 103 may still be covered by the remaining underfill layer 201 in the via opening VO.

在一些實施例中,可以在形成溝渠開口TO之前去除第三遮罩層405。在一些實施例中,可以在用於形成溝渠開口TO的溝渠蝕刻製程之後去除第三遮罩層405。第三遮罩層405的去除可以藉由,例如,灰化製程或其他適用的製程來實現。In some embodiments, the third mask layer 405 may be removed before forming the trench opening TO. In some embodiments, the third mask layer 405 may be removed after the trench etching process used to form the trench opening TO. The third mask layer 405 can be removed by, for example, an ashing process or other suitable processes.

參照圖1與圖10,在步驟S21,可以執行沖孔蝕刻製程,以形成擴展通孔開口EVO,以曝露基底101。Referring to FIGS. 1 and 10 , in step S21 , a punching etching process may be performed to form an extended via opening EVO to expose the substrate 101 .

參照圖10,沖孔蝕刻製程可以去除通孔開口VO中剩餘的底層填充層201與透過通孔開口VO曝露的蝕刻停止層103的部分。在一些實施例中,在沖孔蝕刻製程期間,底層填充層201與介電質層105的蝕刻速率比可在約100:1至約1.05:1之間,或在約100:1至約10:1之間。在一些實施例中,在沖孔蝕刻製程期間,蝕刻停止層103與基底101的蝕刻速率比可在約100:1至約1.05:1之間,或約100:1至約10:1之間。在沖孔蝕刻製程之後,通孔開口VO可以被擴展以形成沿著介電質層105與蝕刻停止層103的擴展通孔開口EVO。基底101的一部分可以透過擴展通孔開口EVO曝露。Referring to FIG. 10 , the punching etching process can remove the remaining underfill layer 201 in the via opening VO and the portion of the etch stop layer 103 exposed through the via opening VO. In some embodiments, during the punch etching process, the etch rate ratio of the underfill layer 201 to the dielectric layer 105 may be between about 100:1 and about 1.05:1, or between about 100:1 and about 10 :1 between. In some embodiments, during the punch etching process, the etch rate ratio of the etch stop layer 103 to the substrate 101 may be between about 100:1 and about 1.05:1, or between about 100:1 and about 10:1. . After the punch etching process, the via opening VO may be expanded to form an expanded via opening EVO along the dielectric layer 105 and the etch stop layer 103 . A portion of the substrate 101 may be exposed through the expanded via opening EVO.

在溝渠蝕刻製程及/或沖孔蝕刻製程之後,可能會留下一些蝕刻殘留物(為清晰起見未顯示)。蝕刻殘留物可以是在溝渠蝕刻製程之後溝渠開口TO的內表面上的剩餘物質、擴展通孔開口EVO的內表面上的剩餘物質、或在第三遮罩層405的灰化製程之後的剩餘物質。蝕刻殘留物可以具有不同的構成,取決於要蝕刻或灰化的材料。After the trench etch process and/or punch etch process, some etch residue may be left behind (not shown for clarity). The etching residue may be residual material on the inner surface of the trench opening TO after the trench etching process, residual material on the inner surface of the extended via opening EVO, or residual material after the ashing process of the third mask layer 405 . Etch residues can have different compositions, depending on the material being etched or ashed.

在一些實施例中,可依次採用預清洗處理與清洗製程來去除上述蝕刻殘留物。In some embodiments, a pre-cleaning process and a cleaning process can be used sequentially to remove the etching residue.

在預清洗處理期間,圖10中所示的中間半導體元件可以用約10轉/分至約2000轉/分之間或約100轉/分與1000轉/分之間的速度進行旋轉。可將預清洗液噴灑到中間半導體元件上,以覆蓋中間半導體元件的整個正面。在向中間半導體元件的正面噴灑預清洗液的同時,可向中間半導體元件的背面噴灑水或其他適合的溶液,以清洗中間半導體元件的背面。During the pre-cleaning process, the intermediate semiconductor element shown in FIG. 10 may be rotated at a speed between about 10 rpm and about 2000 rpm, or between about 100 rpm and 1000 rpm. The pre-cleaning liquid can be sprayed onto the intermediate semiconductor element to cover the entire front surface of the intermediate semiconductor element. While the pre-cleaning liquid is sprayed on the front side of the middle semiconductor element, water or other suitable solutions can be sprayed on the back side of the middle semiconductor element to clean the back side of the middle semiconductor element.

在一些實施例中,預清洗液可包括螯合劑、緩蝕劑、氟化胺、界面活性劑或溶劑。在一些實施例中,氟化胺與界面活性劑可以是選擇性的。In some embodiments, the pre-cleaning fluid may include chelating agents, corrosion inhibitors, amine fluorides, surfactants, or solvents. In some embodiments, the amine fluoride and surfactant may be optional.

通常,螯合劑也可稱為錯合劑或鉗合劑。螯合劑可以具有帶負電荷的離子,稱為配位基,與游離金屬離子結合,形成保持可溶性的組合複合物。螯合劑可用於從中間半導體元件中去除金屬離子。不受任何特定理論的約束,螯合劑也可以減少或避免透過擴展通孔開口EVO曝露的(基底101的)底導電層被腐蝕。Often, chelating agents may also be called complexing agents or clamping agents. Chelating agents can have negatively charged ions, called ligands, that combine with free metal ions to form combined complexes that remain soluble. Chelating agents can be used to remove metal ions from intermediate semiconductor components. Without being bound by any particular theory, the chelating agent may also reduce or prevent corrosion of the bottom conductive layer (of substrate 101) exposed through the extended via opening EVO.

在一些實施例中,預清洗液的螯合劑可包括乙二胺四乙酸、聚丙烯酸酯、碳酸鹽、膦酸鹽、葡萄糖酸鹽、N,N′-雙(2-羥基苯基)乙二胺二乙酸、三亞硝基六乙酸、去鐵胺B、N,N′,N″-三[2-(N-羥基羰基)乙基]-1,3,5-苯甲醯胺,及/或乙二胺二氧代羥基苯乙酸。在一些實施例中,螯合劑的濃度可在約0.001毫克/升至約300毫克/升之間,或約0.01毫克/升至約3毫克/升之間。在一些實施例中,另外,螯合劑的濃度可以在預清洗液的1ppm至約400ppm之間,或優選地在預清洗液的約40ppm之間。In some embodiments, the chelating agent of the pre-cleaning solution may include ethylenediaminetetraacetic acid, polyacrylate, carbonate, phosphonate, gluconate, N,N'-bis(2-hydroxyphenyl)ethylene glycol Aminodiacetic acid, trinitrosohexaacetic acid, deferoxamine B, N,N′,N″-tris[2-(N-hydroxycarbonyl)ethyl]-1,3,5-benzamide, and/ or ethylenediaminedioxyhydroxyphenylacetic acid. In some embodiments, the concentration of the chelating agent can be between about 0.001 mg/L and about 300 mg/L, or between about 0.01 mg/L and about 3 mg/L. In some embodiments, in addition, the concentration of the chelating agent may be between 1 ppm and about 400 ppm of the pre-cleaning liquid, or preferably between about 40 ppm of the pre-cleaning liquid.

預清洗液的緩蝕劑可用於減少或避免隨後清洗製程期間的金屬腐蝕。在一些實施例中,緩蝕劑可包括分子中至少具有一個巰基的脂肪族醇化合物。構成所述醇化合物的碳原子數不少於2個,且與巰基結合的一個碳原子以及與羥基結合的另一個碳原子彼此連續地結合。例如,緩蝕劑可以是2-巰基乙醇及/或硫代甘油。在一些實施例中,預清洗液中的緩蝕劑濃度可在約0.0001%至約10%(重量)之間,或約0.001%至約1%(重量)之間。當濃度太低時,腐蝕抑制效果可能會被限制到一個不令人滿意的程度。而過高的濃度可能不一定能進一步提高腐蝕抑制效果,而且由於含巰基化合物所特有的氣味,可能會使其難以處理。Corrosion inhibitors in pre-cleaning solutions can be used to reduce or avoid metal corrosion during subsequent cleaning processes. In some embodiments, the corrosion inhibitor may include an aliphatic alcohol compound having at least one thiol group in the molecule. The number of carbon atoms constituting the alcohol compound is not less than 2, and one carbon atom bonded to the mercapto group and the other carbon atom bonded to the hydroxyl group are continuously bonded to each other. For example, the corrosion inhibitor may be 2-mercaptoethanol and/or thioglycerol. In some embodiments, the corrosion inhibitor concentration in the pre-cleaning solution may be between about 0.0001% and about 10% by weight, or between about 0.001% and about 1% by weight. When the concentration is too low, the corrosion inhibition effect may be limited to an unsatisfactory level. Too high a concentration may not necessarily further improve the corrosion inhibition effect, and may make it difficult to handle due to the unique odor of sulfhydryl-containing compounds.

另外,在一些實施例中,預清洗液的緩蝕劑可包括芳香烴化合物,如苯並三唑及/或5-甲基苯並咪唑。另外,在一些實施例中,預清洗液的緩蝕劑可包括尿酸、腺嘌呤、咖啡因及/或嘌呤。另外,在一些實施例中,預清洗液的緩蝕劑可以包括乙醛酸。由於乙醛酸的存在,它是一種還原性物質,即使金屬材料在預清洗處理製程期間曝露,藉由調整其中乙醛酸的濃度來控制預清洗液的氧化還原電位,預清洗液與曝露的金屬材料之間的電子轉移被控制,防止金屬材料被腐蝕。另外,在一些實施例中,預清洗液的緩蝕劑可以包括2-巰基乙醇、硫代甘油、苯並三唑、5-甲基苯並咪唑、尿酸、腺嘌呤、咖啡因、嘌呤及/或乙醛酸。In addition, in some embodiments, the corrosion inhibitor of the pre-cleaning solution may include aromatic hydrocarbon compounds, such as benzotriazole and/or 5-methylbenzimidazole. In addition, in some embodiments, the corrosion inhibitor of the pre-cleaning solution may include uric acid, adenine, caffeine and/or purine. Additionally, in some embodiments, the corrosion inhibitor of the pre-cleaning solution may include glyoxylic acid. Due to the presence of glyoxylic acid, which is a reducing substance, even if the metal material is exposed during the pre-cleaning process, the redox potential of the pre-cleaning solution is controlled by adjusting the concentration of glyoxylic acid. The electron transfer between metal materials is controlled to prevent corrosion of metal materials. In addition, in some embodiments, the corrosion inhibitor of the pre-cleaning solution may include 2-mercaptoethanol, thioglycerol, benzotriazole, 5-methylbenzimidazole, uric acid, adenine, caffeine, purine and/or or glyoxylic acid.

在一些實施例中,預清洗液的氟化胺可包括甲胺氟化氫、乙胺氟化氫、丙胺氟化氫、四甲基氟化銨、四乙基氟化銨、乙醇胺氟化氫、甲基乙醇胺氟化氫、二甲基乙醇胺氟化氫及/或三乙二胺氟化氫。氟化胺可用於去除蝕刻殘留物。In some embodiments, the amine fluoride in the pre-cleaning solution may include methylamine hydrogen fluoride, ethylamine hydrogen fluoride, propylamine hydrogen fluoride, tetramethylammonium fluoride, tetraethylammonium fluoride, ethanolamine hydrogen fluoride, methylethanolamine hydrogen fluoride, dimethyl ethanolamine hydrogen fluoride and/or triethylenediamine hydrogen fluoride. Amine fluoride can be used to remove etching residues.

在一些實施例中,預清洗液中的氟化胺的濃度可以根據蝕刻殘留物的成分來確定。例如,氟化胺的濃度可以在整個預清洗液成分的約0.1質量%至約5質量%之間,或在整個預清洗液成分的約0.2質量%至約3質量%之間。藉由將氟化胺的濃度設定在這樣的範圍內,可以確保預清洗液中的氟化胺能夠去除蝕刻殘留物,同時防止氟化胺腐蝕透過擴展通孔開口EVO曝露的底層金屬材料,並抑制透過擴展通孔開口EVO曝露的底層介電質層的蝕刻。也就是說,如果預清洗液中的氟化胺濃度太低,去除殘留物的能力就很低,如果濃度太高,金屬材料可能被腐蝕,而曝露的介電質層可能被蝕刻或發生結構變化。In some embodiments, the concentration of amine fluoride in the pre-cleaning solution can be determined based on the composition of the etching residue. For example, the concentration of the amine fluoride may be between about 0.1 mass % and about 5 mass % of the entire pre-cleaning liquid composition, or between about 0.2 mass % and about 3 mass % of the entire pre-cleaning liquid composition. By setting the concentration of amine fluoride within such a range, it can be ensured that the amine fluoride in the pre-cleaning solution can remove etching residues, while preventing the amine fluoride from corroding the underlying metal material exposed through the expanded via opening EVO, and Suppresses etching of the underlying dielectric layer exposed through the extended via opening EVO. That is to say, if the concentration of amine fluoride in the pre-cleaning solution is too low, the ability to remove residues will be very low. If the concentration is too high, the metal material may be corroded, and the exposed dielectric layer may be etched or structured. change.

界面活性劑的目的可以是為了防止顆粒從中間半導體元件上脫落後重新附著或重新沉積在中間半導體元件上。防止顆粒的重新附著是很重要的,因為允許顆粒重新附著會增加整個製程時間。界面活性劑的目的還可以包括對拒水材料層賦予親和力。一般來說,界面活性劑是長碳氫鏈,通常包含一個親水性(極性水溶性基團)與一個疏水性基團(非極性水不溶性基團)。界面活性劑以其非極性基團附著在顆粒與中間半導體元件的正面。因此,界面活性劑的極性基團將遠離晶圓,遠離顆粒,指向覆蓋中間半導體元件正面的預清洗液。正因為如此,溶液中被界面活性劑結合的顆粒將被靜電排斥在中間半導體元件的正面之外,因為顆粒與中間半導體元件的正面上都有界面活性劑的極性基團。The purpose of the surfactant may be to prevent particles from reattaching or redepositing on the intermediate semiconductor element after being detached from the intermediate semiconductor element. Preventing particle re-attachment is important because allowing particles to re-attach increases the overall process time. The purpose of the surfactant may also include imparting affinity to the layer of water-repellent material. Generally speaking, surfactants are long hydrocarbon chains, usually containing a hydrophilic (polar water-soluble group) and a hydrophobic group (non-polar water-insoluble group). The surfactant attaches its non-polar groups to the front side of the particles and the intermediate semiconductor element. Therefore, the polar groups of the surfactant will be directed away from the wafer, away from the particles, towards the pre-clean fluid covering the front side of the intermediate semiconductor component. Because of this, surfactant-bound particles in solution will be electrostatically repelled from the front face of the intermediate semiconductor element because both the particles and the front face of the intermediate semiconductor element have the polar groups of the surfactant.

在一些實施例中,預清洗液的界面活性劑可包括非離子型、陰離子型或非離子型與陰離子型化合物的混合物。非離子是指界面活性劑的極性端具有靜電而不是離子電荷,陰離子是指界面活性劑的極性端具有負離子電荷。非離子界面活性劑可以是,例如,聚氧乙烯丁基苯基醚,而陰離子界面活性劑可以是,例如,聚氧乙烯烷基苯基硫酸鹽。在一些實施例中,預清洗液的界面活性劑的濃度可以在約1ppm至約100ppm之間。在一些實施例中,預清洗液中的非離子界面活性劑的濃度可以是約30ppm,預清洗液中的陰離子界面活性劑的濃度可以是約30ppm。在一些實施例中,預清洗液的界面活性劑的濃度可以在預清洗液的整個成分的0.0001質量%與10質量%之間,或在預清洗液的整個成分的約0.001質量%至約5質量%之間。藉由將濃度設定在這樣的範圍內,可以確保對中間半導體元件正面的潤濕性與界面活性劑的濃度相稱。In some embodiments, the surfactant of the pre-cleaning solution may include nonionic, anionic, or a mixture of nonionic and anionic compounds. Nonionic means that the polar end of the surfactant has an electrostatic rather than ionic charge, and anionic means that the polar end of the surfactant has a negative ionic charge. The nonionic surfactant may be, for example, polyoxyethylene butyl phenyl ether, and the anionic surfactant may be, for example, polyoxyethylene alkylphenyl sulfate. In some embodiments, the surfactant concentration of the pre-cleaning liquid may be between about 1 ppm and about 100 ppm. In some embodiments, the concentration of the nonionic surfactant in the pre-cleaning liquid may be about 30 ppm, and the concentration of the anionic surfactant in the pre-cleaning liquid may be about 30 ppm. In some embodiments, the concentration of the surfactant of the pre-cleaning liquid may be between 0.0001 mass % and 10 mass % of the entire composition of the pre-cleaning liquid, or between about 0.001 mass % and about 5 mass % of the entire composition of the pre-cleaning liquid. between mass %. By setting the concentration within such a range, it is possible to ensure that the wettability of the front surface of the intermediate semiconductor element is commensurate with the concentration of the surfactant.

在一些實施例中,預清洗液的溶劑可以是去離子水。In some embodiments, the solvent of the pre-cleaning solution may be deionized water.

在一些實施例中,圖10中所示的中間半導體元件的正面可以由預清洗液覆蓋(或浸泡)約2分鐘。接下來,可以用去離子水漂洗(rinse)中間半導體元件,以去除預清洗液。In some embodiments, the front surface of the middle semiconductor element shown in FIG. 10 may be covered (or soaked) in the pre-cleaning liquid for about 2 minutes. Next, the intermediate semiconductor element may be rinsed with deionized water to remove the pre-cleaning liquid.

在一些實施例中,在預清洗處理之後可以執行乾燥製程。乾燥製程的執行可以包含在約100轉/分至約6000轉/分之間,或約3000轉/分之間旋轉約20秒,並使用空氣流來乾燥中間半導體元件。在一些實施例中,可使用氮氣或異丙醇來促進乾燥製程。在一些實施例中,乾燥製程可以是選擇性的。也就是說,清洗製程的執行可以直接在預清洗液的沖洗後執行。In some embodiments, a drying process may be performed after the pre-cleaning process. The drying process may include rotating between about 100 rpm and about 6000 rpm, or about 3000 rpm for about 20 seconds, and using an air flow to dry the intermediate semiconductor device. In some embodiments, nitrogen or isopropyl alcohol may be used to facilitate the drying process. In some embodiments, the drying process may be optional. In other words, the cleaning process can be executed directly after flushing with the pre-cleaning liquid.

在一些實施例中,清洗製程可包括三個階段,在各階段之間執行階段間漂洗。詳細地說,在清洗製程的第一階段期間,在預清洗處理(或乾燥製程)之後,可將第一清洗液施加到中間半導體元件上。第一清洗液可由第一階段間漂洗來漂洗。在清洗製程的第二階段期間,可將第二清洗液施加到中間半導體元件上,隨後可藉由第二階段間漂洗來漂洗第二清洗液。在清洗製程的第三階段期間,可將第三清洗液施加到中間半導體元件上,然後藉由階段後漂洗來漂洗。In some embodiments, the cleaning process may include three stages, with interstage rinsing performed between each stage. In detail, during the first stage of the cleaning process, the first cleaning liquid may be applied to the intermediate semiconductor element after the pre-cleaning process (or drying process). The first cleaning liquid may be rinsed by the first inter-stage rinse. During the second stage of the cleaning process, a second cleaning fluid may be applied to the intermediate semiconductor device, and the second cleaning fluid may then be rinsed by a second inter-stage rinse. During the third stage of the cleaning process, a third cleaning liquid may be applied to the intermediate semiconductor device and then rinsed by a post-stage rinse.

在一些實施例中,在清洗製程的第一階段期間,經過預清洗處理的中間半導體元件可以用約10轉/分與約2000轉/分之間或約100轉/分與1000轉/分之間的速度進行旋轉。第一清洗液可以噴灑到中間半導體元件上,以覆蓋中間半導體元件的整個正面。在將第一清洗液施加到中間半導體元件的正面的同時,可將水或其他適合的溶液施加到中間半導體元件的背面,以清洗中間半導體元件的背面。In some embodiments, during the first stage of the cleaning process, the pre-cleaned intermediate semiconductor device may be cleaned between about 10 rpm and about 2000 rpm or between about 100 rpm and 1000 rpm. rotate at the desired speed. The first cleaning liquid may be sprayed onto the middle semiconductor element to cover the entire front surface of the middle semiconductor element. While the first cleaning liquid is applied to the front side of the middle semiconductor element, water or other suitable solution may be applied to the back side of the middle semiconductor element to clean the back side of the middle semiconductor element.

在一些實施例中,第一清洗液可包括稀釋的氫氟酸。第一清洗液的濃度可以在約5份去離子水對1份氫氟酸至約1000份去離子水對1份氫氟酸之間,約300份去離子水對1份氫氟酸,或者約50份去離子水對1份氫氟酸。通常,中間半導體元件的正面可以曝露在第一清洗液中,其時間足以蝕刻犧牲性氧化物(通常約50埃至200埃)或原生氧化物(通常約10埃)。在一些實施例中,清洗製程的第一階段的製程時間可以在約1分鐘至約5分鐘之間。In some embodiments, the first cleaning solution may include dilute hydrofluoric acid. The concentration of the first cleaning solution can be between about 5 parts deionized water to 1 part hydrofluoric acid to about 1000 parts deionized water to 1 part hydrofluoric acid, about 300 parts deionized water to 1 part hydrofluoric acid, or About 50 parts deionized water to 1 part hydrofluoric acid. Typically, the front side of the intermediate semiconductor element may be exposed to the first cleaning solution for a time sufficient to etch the sacrificial oxide (typically about 50 angstroms to 200 angstroms) or the native oxide (typically about 10 angstroms). In some embodiments, the process time of the first stage of the cleaning process may be between about 1 minute and about 5 minutes.

在一些實施例中,第一清洗液還可包括氟化合物、有機酸鹽及/或乙醛酸。In some embodiments, the first cleaning solution may further include fluorine compounds, organic acid salts, and/or glyoxylic acid.

氟化合物可以做為去除蝕刻殘留物的成分而包含在第一清洗液中。氟化合物的例示可包括氫氟酸與銨或氟化胺,例如,氟化銨、氟化氫銨、甲胺氟化氫、乙胺氟化氫、丙胺氟化氫、四甲基氟化銨、四乙基氟化銨、乙醇胺氟化氫、甲基乙醇胺氟化銨、二甲基乙醇胺氟化氫以及三乙二胺氟化氫。在一些實施例中,第一清洗液中的氟化合物的濃度可根據蝕刻殘留物的成分來確定。例如,氟化合物的濃度可在第一清洗液整個成分的約0.1質量%至約5質量%之間,或在第一清洗液整個成分的約0.2質量%至約3質量%之間。The fluorine compound may be included in the first cleaning liquid as a component for removing etching residues. Examples of the fluorine compound may include hydrofluoric acid and ammonium or amine fluoride, such as ammonium fluoride, ammonium bifluoride, methylamine hydrogen fluoride, ethylamine hydrogen fluoride, propylamine hydrogen fluoride, tetramethylammonium fluoride, tetraethylammonium fluoride, Ethanolamine hydrogen fluoride, methylethanolamine ammonium fluoride, dimethylethanolamine hydrogen fluoride, and triethylenediamine hydrogen fluoride. In some embodiments, the concentration of the fluorine compound in the first cleaning solution may be determined according to the composition of the etching residue. For example, the concentration of the fluorine compound may be between about 0.1 mass % and about 5 mass % of the entire composition of the first cleaning liquid, or between about 0.2 mass % and about 3 mass % of the entire composition of the first cleaning liquid.

有機酸鹽可以包括,例如,草酸銨、酒石酸銨、檸檬酸銨以及乙酸銨。有機酸鹽可做為第一清洗液中的pH調節劑或緩衝劑。有機酸鹽的濃度可在第一清洗液整個成分的約0.1質量%至約10質量%之間,或在第一清洗液整個成分的約0.3質量%至約5質量%之間。Organic acid salts may include, for example, ammonium oxalate, ammonium tartrate, ammonium citrate, and ammonium acetate. The organic acid salt can be used as a pH adjuster or buffer in the first cleaning solution. The concentration of the organic acid salt may be between about 0.1 mass % and about 10 mass % of the entire composition of the first cleaning liquid, or between about 0.3 mass % and about 5 mass % of the entire composition of the first cleaning liquid.

第一清洗液中含有的乙醛酸可以做為一種緩蝕劑。The glyoxylic acid contained in the first cleaning solution can be used as a corrosion inhibitor.

在一些實施例中,第一清洗液的還可包括抗蝕劑去除成分。抗蝕劑去除成分的例示包括氫氧化四甲銨及/或單甲醇胺。In some embodiments, the first cleaning solution may further include a resist removal component. Examples of resist removal components include tetramethylammonium hydroxide and/or monocarbinolamine.

可以在清洗製程的第一階段之後執行第一階段間漂洗。在第一階段間漂洗期間,在清洗製程的第一階段之後的中間半導體元件可以在約10轉/分與約1000轉/分之間旋轉,同時用去離子水漂洗。在一些實施例中,漂洗溫度可在約19℃至約23℃之間。在一些實施例中,第一階段間漂洗的製程時間可在約20秒至約50秒之間,或約30秒。The first inter-stage rinse can be performed after the first stage of the cleaning process. During the first inter-stage rinse, the intermediate semiconductor component after the first stage of the cleaning process may be rotated between about 10 rpm and about 1000 rpm while being rinsed with deionized water. In some embodiments, the rinse temperature can be between about 19°C and about 23°C. In some embodiments, the process time of the first inter-stage rinse may be between about 20 seconds and about 50 seconds, or about 30 seconds.

在一些實施例中,用於第一階段間漂洗的去離子水可以在漂洗中間半導體元件之前,藉由溶解氧氣或臭氧氣體進行氧化或臭氧化。溶解氧或臭氧可以用大於1ppm的濃度加入到去離子水中,做為氧化劑。例如,溶解氧或臭氧的濃度可以在約1ppm至約200ppm之間,或約2ppm至約20ppm之間。又例如,去離子水可以被溶解氧或臭氧所飽和。另外,可以在去離子水中加入濃度大於100ppm的過氧化氫,做為氧化劑。無論使用哪種氧化劑,它的氧化電位應足以氧化溶液中最抗腐蝕的金屬(noble metal)。銅(Cu 2+)的標準還原電位為0.3V,通常是目前最抗腐蝕的金屬。因此,需要一個大於0.5V的標準還原電位。氧氣或臭氧將溶解金屬離子,並藉由氧化溶液中的金屬離子防止沉澱。這將使第一階段間漂洗更有效,以減少製程製程時間。 In some embodiments, the deionized water used for the first interstage rinse may be oxidized or ozonated by dissolved oxygen or ozone gas before rinsing the intermediate semiconductor components. Dissolved oxygen or ozone can be added to deionized water at a concentration greater than 1 ppm as an oxidizing agent. For example, the concentration of dissolved oxygen or ozone may be between about 1 ppm and about 200 ppm, or between about 2 ppm and about 20 ppm. As another example, deionized water can be saturated with dissolved oxygen or ozone. In addition, hydrogen peroxide with a concentration greater than 100 ppm can be added to deionized water as an oxidizing agent. Regardless of which oxidant is used, its oxidation potential should be sufficient to oxidize the most corrosion-resistant metal in the solution (noble metal). Copper (Cu 2+ ) has a standard reduction potential of 0.3V and is generally the most corrosion-resistant metal available. Therefore, a standard reduction potential greater than 0.5V is required. Oxygen or ozone will dissolve metal ions and prevent precipitation by oxidizing the metal ions in the solution. This will make the first interstage rinse more efficient to reduce process time.

在一些實施例中,用於第一階段間漂洗的去離子水可以將二氧化碳溶解在其中,以消解去離子水中積累的靜電。去離子水中積累的靜電可能來自於中間半導體元件的旋轉。溶解的二氧化碳也可以使去離子水更加酸性,因此減少任何金屬污染。在一些實施例中,二氧化碳可以被溶解到去離子水中,其量足以消散靜電。例如,溶解到去離子水中的二氧化碳的數量可以足以使去離子水的電阻率降低到5兆歐姆∙公分以下。In some embodiments, the deionized water used for the first interstage rinse may have carbon dioxide dissolved therein to dissipate accumulated static electricity in the deionized water. The static electricity that accumulates in deionized water may come from the rotation of the intermediate semiconductor element. Dissolved carbon dioxide also makes deionized water more acidic, thus reducing any metal contamination. In some embodiments, carbon dioxide can be dissolved into deionized water in an amount sufficient to dissipate static electricity. For example, the amount of carbon dioxide dissolved into the deionized water may be sufficient to reduce the resistivity of the deionized water to less than 5 Megohm∙cm.

在一些實施例中,用於第一階段間漂洗的去離子水中可以加入異丙醇,或任何其他表面張力低於去離子水的液體。異丙醇可以幫助使去離子水在中間半導體元件的正面鋪開,因此使化學品更快地被去除。異丙醇還可以在旋轉過程中幫助漂洗液從中間半導體元件上旋轉下來。另外,在漂洗時,異丙醇蒸汽可以吹到中間半導體元件的正面,以輔助第一階段間漂洗。In some embodiments, isopropanol may be added to the deionized water used for the first interstage rinse, or any other liquid with a lower surface tension than deionized water. The isopropyl alcohol helps spread the deionized water on the front side of the intermediate semiconductor component, thus allowing the chemicals to be removed faster. Isopropyl alcohol also helps the rinse solution spin off the intermediate semiconductor components during the spin process. In addition, during rinsing, isopropyl alcohol vapor can be blown to the front side of the intermediate semiconductor component to assist in the first inter-stage rinsing.

在一些實施例中,用於去除預清洗液的去離子水可以具有與在第一階段間漂洗期間使用的去離子水類似的處理。In some embodiments, the deionized water used to remove the pre-wash liquid may have a similar treatment to the deionized water used during the first interstage rinse.

在一些實施例中,在清洗製程的第二階段期間,在第一階段間漂洗後的中間半導體元件可以用約10轉/分與約2000轉/分之間或約100轉/分與1000轉/分之間的速度進行旋轉。第二清洗液可以噴灑到中間半導體元件上,以覆蓋中間半導體元件的整個正面。在將第二清洗液施加到中間半導體元件的正面的同時,可將水或其他適合的溶液施加到中間半導體元件的背面以清洗中間半導體元件的背面。In some embodiments, during the second stage of the cleaning process, the intermediate semiconductor device after rinsing between the first stages may be cleaned between about 10 rpm and about 2000 rpm or between about 100 rpm and 1000 rpm. Rotate at speeds between /min. The second cleaning liquid may be sprayed onto the middle semiconductor element to cover the entire front surface of the middle semiconductor element. While the second cleaning liquid is applied to the front side of the middle semiconductor element, water or other suitable solution may be applied to the back side of the middle semiconductor element to clean the back side of the middle semiconductor element.

在一些實施例中,第二清洗液可以是鹼性溶液,包括,例如,無機化合物的水溶液,如氫氧化鈉、氫氧化鉀與氫氧化銨,以及有機化合物的水溶液,如氫氧化四甲銨與膽鹼。第二清洗液還可以包括過氧化氫。第二清洗液中的氫氧化銨與過氧化氫的目的是為了去除中間半導體元件正面的顆粒與殘留的有機污染物。In some embodiments, the second cleaning solution may be an alkaline solution, including, for example, aqueous solutions of inorganic compounds, such as sodium hydroxide, potassium hydroxide, and ammonium hydroxide, and aqueous solutions of organic compounds, such as tetramethylammonium hydroxide. with choline. The second cleaning solution may also include hydrogen peroxide. The purpose of the ammonium hydroxide and hydrogen peroxide in the second cleaning solution is to remove particles and residual organic pollutants on the front surface of the intermediate semiconductor element.

例如,在本實施例中,第二清洗液可包括氫氧化銨、過氧化氫與水。氫氧化銨、過氧化氫與水的濃度可分別在5/1/1與1000/1/1之間的稀釋比定義中存在。在一些實施例中,氫氧化銨/過氧化氫的比例可在0.05/1與5/1之間變化。在一些實施例中,根本不使用過氧化氫。第二清洗液中的氫氧化銨將來自28-29% w/w(質量百分濃度)的氨水溶液。第二清洗液中的過氧化氫將是31-32% w/w的過氧化氫對水的溶液。由於氫氧化銨與過氧化氫的作用,第二清洗液的pH值可以在9與12之間,或在10與11之間。For example, in this embodiment, the second cleaning liquid may include ammonium hydroxide, hydrogen peroxide and water. The concentrations of ammonium hydroxide, hydrogen peroxide and water can exist in dilution ratio definitions between 5/1/1 and 1000/1/1 respectively. In some embodiments, the ammonium hydroxide/hydrogen peroxide ratio can vary between 0.05/1 and 5/1. In some embodiments, no hydrogen peroxide is used at all. The ammonium hydroxide in the second cleaning solution will come from a 28-29% w/w (mass percent concentration) ammonia solution. The hydrogen peroxide in the second cleaning solution will be a 31-32% w/w solution of hydrogen peroxide in water. Due to the action of ammonium hydroxide and hydrogen peroxide, the pH value of the second cleaning solution can be between 9 and 12, or between 10 and 11.

在一些實施例中,第二清洗液還可包括螯合劑。第二清洗液的螯合劑可以具有與預清洗液中的螯合劑相似的化合物與濃度,其描述在此不再重複。In some embodiments, the second cleaning solution may also include a chelating agent. The chelating agent in the second cleaning liquid may have a similar compound and concentration to the chelating agent in the pre-cleaning liquid, and its description will not be repeated here.

在一些實施例中,第二清洗液還可包括界面活性劑。第二清洗液的界面活性劑可以具有與預清洗液中的界面活性劑相似的化合物與濃度,其描述在此不再重複。In some embodiments, the second cleaning solution may also include a surfactant. The surfactant in the second cleaning liquid may have a similar compound and concentration to the surfactant in the pre-cleaning liquid, and its description will not be repeated here.

在一些實施例中,第二清洗液還可包括溶解的氫氣。第二清洗液中的溶解氫氣可為第二清洗液提供空化作用(產生氣泡)。向第二清洗液提供空化作用可增強清洗製程。在一些實施例中,溶解氫氣的濃度可在約0.01毫克/升至約5毫克/升之間,或約0.1毫克/升與至約5毫克/升之間。在一些實施例中,也可以使用其他適合的空化氣體,如氮氣、氦氣、氬氣或氧氣。例如,濃度在1毫克/升與20毫克/升之間的溶解氧可用於第二清洗液中。In some embodiments, the second cleaning liquid may also include dissolved hydrogen. Dissolved hydrogen in the second cleaning liquid can provide cavitation (generation of bubbles) to the second cleaning liquid. Providing cavitation to the second cleaning fluid enhances the cleaning process. In some embodiments, the concentration of dissolved hydrogen can be between about 0.01 mg/L and about 5 mg/L, or between about 0.1 mg/L and about 5 mg/L. In some embodiments, other suitable cavitation gases such as nitrogen, helium, argon, or oxygen may also be used. For example, dissolved oxygen with a concentration between 1 mg/L and 20 mg/L can be used in the second cleaning solution.

在一些實施例中,清洗製程的第二階段的製程時間可在約30秒至約100秒之間、約30秒至90秒之間、或約30秒至約60秒之間。在一些實施例中,第二清洗液的溫度可在約40℃至約85℃之間。In some embodiments, the process time of the second stage of the cleaning process may be between about 30 seconds and about 100 seconds, between about 30 seconds and about 90 seconds, or between about 30 seconds and about 60 seconds. In some embodiments, the temperature of the second cleaning liquid may be between about 40°C and about 85°C.

第二階段間漂洗可以在清洗製程的第二階段之後執行。第二階段間漂洗可以用類似於第一階段間漂洗的程序來執行,在此不再重複描述。The second interstage rinse can be performed after the second stage of the cleaning process. The second inter-stage rinse can be performed using a procedure similar to the first inter-stage rinse, and the description will not be repeated here.

在一些實施例中,在清洗製程的第三階段期間,在第二階段間漂洗後的中間半導體元件可以用約10轉/分至約2000轉/分之間或約100轉/分至1000轉/分之間的速度進行旋轉。第三清洗液可以噴灑到中間半導體元件上,以覆蓋中間半導體元件的整個正面。在將第三清洗液施加到中間半導體元件的正面的同時,可將水或其他適合的溶液施加到中間半導體元件的背面,以清洗中間半導體元件的背面。In some embodiments, during the third stage of the cleaning process, the intermediate semiconductor device after rinsing between the second stages may be cleaned between about 10 rpm and about 2000 rpm or between about 100 rpm and 1000 rpm. Rotate at speeds between /min. The third cleaning liquid can be sprayed onto the middle semiconductor element to cover the entire front surface of the middle semiconductor element. While the third cleaning liquid is applied to the front side of the middle semiconductor element, water or other suitable solution may be applied to the back side of the middle semiconductor element to clean the back side of the middle semiconductor element.

在一些實施例中,第三清洗液可以是酸性溶液包括,例如,鹽酸、氫氟酸、硫酸與硝酸等無機酸的水溶液,以及草酸、檸檬酸、丙二酸、蘋果酸、富馬酸與馬來酸等有機酸的水溶液。在一些實施例中,第三清洗液還可以包括過氧化氫。酸性溶液的濃度可在約0.001%至約10%(重量)之間,或約0.01%至約5%(重量)之間。當濃度太低時,可能無法獲得充分的清潔效果。當濃度過高時,可能會發生清潔設備或其他相關設備的金屬腐蝕。In some embodiments, the third cleaning solution may be an acidic solution including, for example, aqueous solutions of inorganic acids such as hydrochloric acid, hydrofluoric acid, sulfuric acid, and nitric acid, as well as oxalic acid, citric acid, malonic acid, malic acid, fumaric acid, and Aqueous solutions of organic acids such as maleic acid. In some embodiments, the third cleaning solution may further include hydrogen peroxide. The concentration of the acidic solution may be between about 0.001% and about 10% by weight, or between about 0.01% and about 5% by weight. When the concentration is too low, sufficient cleaning effects may not be obtained. When concentrations are too high, metal corrosion of cleaning equipment or other related equipment may occur.

在清洗製程的第三階段之後,可以執行階段後漂洗。階段後漂洗可以用類似於第一階段間漂洗的程序來執行,在此不再重複其描述。After the third stage of the cleaning process, a post-stage rinse can be performed. The post-stage rinse can be performed with a procedure similar to the first inter-stage rinse, the description of which will not be repeated here.

在一些實施例中,清洗製程的第二階段以及第三階段可以是選擇性的。換句話說,可以只執行清洗製程的第一階段。在一些實施例中,清洗製程的第三階段可以是選擇性的。換句話說,可以只有執行清洗製程的第一階段與第二階段。In some embodiments, the second and third stages of the cleaning process may be optional. In other words, only the first stage of the cleaning process can be performed. In some embodiments, the third stage of the cleaning process may be optional. In other words, only the first and second stages of the cleaning process can be performed.

參照圖1、圖11與圖12,在步驟S23,可以在擴展通孔開口EVO中形成通孔107,並且可以在溝渠開口TO中形成溝渠109。Referring to FIGS. 1 , 11 and 12 , in step S23 , the via hole 107 may be formed in the expanded via hole opening EVO, and the trench 109 may be formed in the trench opening TO.

參照圖11,可以形成一層導電材料503,以完全填充擴展通孔開口EVO與溝渠開口TO。導電材料可以是,例如,銅。導電材料層503的製作技術可以包含,例如,化學氣相沉積、物理氣相沉積、濺鍍或其他適用的沉積製程。Referring to FIG. 11 , a layer of conductive material 503 may be formed to completely fill the extended via opening EVO and the trench opening TO. The conductive material may be, for example, copper. The manufacturing technology of the conductive material layer 503 may include, for example, chemical vapor deposition, physical vapor deposition, sputtering or other suitable deposition processes.

參照圖8,可以執行平坦化製程,例如化學機械研磨,直到曝露介電質層105的頂面,以去除多餘的材料並為後續製程步驟提供一個實質上平整的表面。在平坦化製程之後,導電材料層503可以變成擴展通孔開口EVO中的通孔107與溝渠TO中的溝渠109。基底101、第一蝕刻停止層103、介電質層105、通孔107與溝渠109共同配置半導體元件1A。Referring to FIG. 8 , a planarization process, such as chemical mechanical polishing, may be performed until the top surface of dielectric layer 105 is exposed to remove excess material and provide a substantially planar surface for subsequent process steps. After the planarization process, the conductive material layer 503 may become the via 107 in the expanded via opening EVO and the trench 109 in the trench TO. The substrate 101, the first etching stop layer 103, the dielectric layer 105, the via 107 and the trench 109 jointly configure the semiconductor device 1A.

圖13是流程圖,例示本揭露另一個實施例之半導體元件1B的製備方法30。FIG. 13 is a flow chart illustrating a method 30 for manufacturing a semiconductor device 1B according to another embodiment of the present disclosure.

圖14至圖24是截面圖,例示本揭露另一實施例之半導體元件1B的製備流程。14 to 24 are cross-sectional views illustrating the manufacturing process of the semiconductor device 1B according to another embodiment of the present disclosure.

參照圖13至圖15,在步驟S31,可以提供基底101,可以在基底101上形成蝕刻停止層103,可以在蝕刻停止層103上形成介電質層105,並且可以形成通孔開口VO以曝露蝕刻停止層103。Referring to FIGS. 13 to 15 , in step S31 , a substrate 101 may be provided, an etching stop layer 103 may be formed on the substrate 101 , a dielectric layer 105 may be formed on the etching stop layer 103 , and a via opening VO may be formed to expose Etch stop layer 103.

參照圖14,可以使用類似於圖2所示的程序形成基底101、蝕刻停止層103、介電質層105與第一遮罩層401,其描述在此不再重複。Referring to FIG. 14 , a process similar to that shown in FIG. 2 may be used to form the substrate 101 , the etching stop layer 103 , the dielectric layer 105 and the first mask layer 401 , and their description will not be repeated here.

參照圖15,可以使用類似於圖3所示的程序沿著介電質層105形成通孔開口VO並曝露蝕刻停止層103,其描述在此不再重複。Referring to FIG. 15 , a procedure similar to that shown in FIG. 3 may be used to form the via opening VO along the dielectric layer 105 and expose the etch stop layer 103 , the description of which will not be repeated here.

參照圖13圖16,在步驟S33,可以形成不合格硬遮罩層501以填充通孔開口VO,並且可以在不合格硬遮罩層501上形成第二遮罩層403。Referring to FIGS. 13 and 16 , in step S33 , a defective hard mask layer 501 may be formed to fill the via opening VO, and a second mask layer 403 may be formed on the defective hard mask layer 501 .

參照圖16,可以使用類似於圖4中所示的程序形成不合格硬遮罩層501與第二遮罩層403,其描述在此不再重複。Referring to FIG. 16 , a procedure similar to that shown in FIG. 4 may be used to form the failed hard mask layer 501 and the second mask layer 403 , the description of which will not be repeated here.

參照圖13與圖17,在步驟S35,可以去除第二遮罩層403。Referring to FIG. 13 and FIG. 17 , in step S35 , the second mask layer 403 may be removed.

參照圖17,可以選擇性地去除第二遮罩層403。第二遮罩層403的去除技術可以包含,例如,灰化製程或適用的蝕刻製程。在一些實施例中,在去除第二遮罩層403期間,第二遮罩層403與不合格硬遮罩層501的蝕刻速率比可在約100:1至約1.05:1之間或在約100:1至約10:1之間。Referring to FIG. 17 , the second mask layer 403 may be selectively removed. The removal technique of the second mask layer 403 may include, for example, an ashing process or an appropriate etching process. In some embodiments, during removal of the second mask layer 403, the etch rate ratio of the second mask layer 403 to the failed hard mask layer 501 may be between about 100:1 and about 1.05:1 or between about Between 100:1 and about 10:1.

參照圖13與圖18,在步驟S37,不合格硬遮罩層501可以藉由重塗製程變成底部填充層203。Referring to FIGS. 13 and 18 , in step S37 , the unqualified hard mask layer 501 can be transformed into the underfill layer 203 through a recoating process.

參照圖18,在一些實施例中,重塗製程可以是,例如,旋塗製程、化學氣相沉積、物理氣相沉積、濺鍍或其他適合的沉積製程。重塗製程可以修補不合格硬遮罩層501的缺陷(例如,凹槽501R)。在重塗製程之後,不合格硬遮罩層501可以變成底部填充層203。在一些實施例中,底部填充層203的厚度T6可以在約180奈米至約220奈米之間。底部填充層203的製作技術可以包含與不合格硬遮罩層501相同的材料,其描述在此不再重複。在一些實施例中,可以執行平坦化製程,例如化學機械研磨或其他適合的製程,為後續製程步驟提供一個實質上平整的表面。在一些實施例中,可以省略平坦化製程。Referring to FIG. 18 , in some embodiments, the recoating process may be, for example, a spin coating process, chemical vapor deposition, physical vapor deposition, sputtering, or other suitable deposition processes. The recoating process can repair defects of the failed hard mask layer 501 (eg, grooves 501R). After the recoat process, the failed hard mask layer 501 may become the underfill layer 203. In some embodiments, the thickness T6 of the underfill layer 203 may be between about 180 nanometers and about 220 nanometers. The manufacturing technology of the underfill layer 203 may include the same material as the failed hard mask layer 501, and its description will not be repeated here. In some embodiments, a planarization process, such as chemical mechanical polishing or other suitable processes, may be performed to provide a substantially planar surface for subsequent process steps. In some embodiments, the planarization process may be omitted.

習用上,去除不合格硬遮罩層501可能擴大通孔開口VO及/或損壞通孔開口VO的輪廓。在本實施例中,採用重塗以修復不合格硬遮罩層501而不是去除它,可以減輕或避免通孔開口VO的擴大或通孔開口VO的輪廓的損壞。Conventionally, removing the unqualified hard mask layer 501 may enlarge the via opening VO and/or damage the profile of the via opening VO. In this embodiment, using recoating to repair the defective hard mask layer 501 instead of removing it can reduce or avoid the expansion of the via opening VO or the damage to the profile of the via opening VO.

參照圖13與圖19,在步驟S39,可以在底部填充層203上形成頂部硬遮罩層205,並且可以在頂部硬遮罩層205上形成第三遮罩層405。Referring to FIGS. 13 and 19 , in step S39 , a top hard mask layer 205 may be formed on the bottom filling layer 203 , and a third mask layer 405 may be formed on the top hard mask layer 205 .

參照圖19,可以用類似於圖7所示的程序來形成頂部硬遮罩層205與第三遮罩層405,其描述在此不再重複。Referring to FIG. 19 , a process similar to that shown in FIG. 7 may be used to form the top hard mask layer 205 and the third mask layer 405 , and the description thereof will not be repeated here.

包括去除第三遮罩層405、製作技術是重塗製程的底部填充層203、形成頂部硬遮罩層205,與形成第三遮罩層405(包括對第三遮罩層405定圖形)可稱為不合格硬遮罩層501的重工製程,該製程用於避免不合格硬遮罩層501對所產生的半導體元件1B的不利影響。Including removing the third mask layer 405, the manufacturing technology is to recoat the bottom filling layer 203 of the process, forming the top hard mask layer 205, and forming the third mask layer 405 (including patterning the third mask layer 405). This process is called a reworking process of the defective hard mask layer 501 , and is used to avoid the adverse effects of the defective hard mask layer 501 on the produced semiconductor device 1B.

參照圖13、圖20與圖21,在步驟S41,可以在介電質層105中形成溝渠開口TO。Referring to FIG. 13 , FIG. 20 and FIG. 21 , in step S41 , a trench opening TO may be formed in the dielectric layer 105 .

參照圖20,可以用類似於圖8所示的程序來形成硬遮罩開口205O,其描述在此不再重複。底部填充層203的一部分由硬遮罩開口205O曝露。Referring to FIG. 20 , a process similar to that shown in FIG. 8 may be used to form the hard mask opening 205O, and the description thereof will not be repeated here. A portion of underfill layer 203 is exposed by hard mask opening 205O.

參照圖21,可以使用頂部硬遮罩層205及/或第三遮罩層405做為遮罩來執行溝渠蝕刻製程,以去除部分的介電質層105與底部填充層203。在一些實施例中,在溝渠蝕刻製程期間,介電質層105與頂部硬遮罩層205的蝕刻速率比可在約100:1至約1.05:1之間或在約100:1至約10:1之間。在溝渠蝕刻製程期間,底部填充層203與頂部硬遮罩層205的蝕刻速率比可在約100:1至約1.05:1之間,或約100:1至約10:1之間。在溝渠蝕刻製程之後,溝渠開口TO可以形成在介電質層105中。應該注意的是,在當前階段,蝕刻停止層103仍然可以由剩餘的底部填充層203覆蓋在溝道開口VO中。Referring to FIG. 21 , the top hard mask layer 205 and/or the third mask layer 405 can be used as a mask to perform a trench etching process to remove part of the dielectric layer 105 and the underfill layer 203 . In some embodiments, during the trench etch process, the etch rate ratio of the dielectric layer 105 to the top hard mask layer 205 may be between about 100:1 to about 1.05:1 or between about 100:1 to about 10 :1 between. During the trench etch process, the etch rate ratio of the bottom fill layer 203 to the top hard mask layer 205 may be between about 100:1 and about 1.05:1, or between about 100:1 and about 10:1. After the trench etching process, trench openings TO may be formed in the dielectric layer 105 . It should be noted that at the current stage, the etch stop layer 103 may still be covered in the channel opening VO by the remaining underfill layer 203 .

參照圖13與圖22,在步驟S43,可以執行沖孔蝕刻製程,以形成擴展通孔開口EVO,以曝露基底101。Referring to FIGS. 13 and 22 , in step S43 , a punching etching process may be performed to form an extended via opening EVO to expose the substrate 101 .

參照圖22,沖孔蝕刻製程可以去除通孔開口VO中剩餘的底部填充層203與透過通孔開口VO曝露的蝕刻停止層103的部分。在一些實施例中,在沖孔蝕刻製程期間,底部填充層203與介電質層105的蝕刻速率比可在約100:1至約1.05:1之間或約100:1至約10:1之間。在一些實施例中,在沖孔蝕刻製程期間,蝕刻停止層103與基底101的蝕刻速率比可在約100:1至約1.05:1之間,或在約100:1至約10:1之間。在沖孔蝕刻製程之後,通孔開口VO可以沿著介電質層105與蝕刻停止層103擴展到擴展通孔開口EVO。基底101的一部分可以透過擴展通孔開口EVO曝露。Referring to FIG. 22 , the punching etching process can remove the remaining underfill layer 203 in the via opening VO and the portion of the etch stop layer 103 exposed through the via opening VO. In some embodiments, during the punch etching process, the etch rate ratio of the underfill layer 203 to the dielectric layer 105 may be between about 100:1 to about 1.05:1 or about 100:1 to about 10:1 between. In some embodiments, during the punch etching process, the etch rate ratio of the etch stop layer 103 to the substrate 101 may be between about 100:1 and about 1.05:1, or between about 100:1 and about 10:1. between. After the punch etching process, the via opening VO may be expanded along the dielectric layer 105 and the etch stop layer 103 to expand the via opening EVO. A portion of the substrate 101 may be exposed through the expanded via opening EVO.

在一些實施例中,可以用類似於圖10所示的程序來對圖22所示的中間半導體元件執行預清洗處理與清洗製程,其描述在此不再重複。In some embodiments, a procedure similar to that shown in FIG. 10 may be used to perform a pre-cleaning process and a cleaning process on the intermediate semiconductor device shown in FIG. 22 , and the description thereof will not be repeated here.

參照圖13、圖23與圖24,在步驟S45,可以在擴展通孔開口EVO中形成通孔107,並且可以在溝渠開口TO中形成溝渠109。Referring to FIGS. 13 , 23 and 24 , in step S45 , the via hole 107 may be formed in the expanded via hole opening EVO, and the trench 109 may be formed in the trench opening TO.

參照圖23與圖24,可以用類似於圖11與圖12所示的程序來形成通孔107與溝渠109,其描述在此不再重複。基底101、第一蝕刻停止層103、介電質層105、通孔107與溝渠109共同配置半導體元件1B。Referring to FIGS. 23 and 24 , a process similar to that shown in FIGS. 11 and 12 can be used to form the through hole 107 and the trench 109 , and the description thereof will not be repeated here. The substrate 101, the first etching stop layer 103, the dielectric layer 105, the via 107 and the trench 109 jointly configure the semiconductor device 1B.

本揭露的一個方面提供一種半導體元件的製備方法,包括提供一基底;在該基底上形成一介電質層;以該第一遮罩層做為遮罩在該介電質層中形成一通孔開口;形成一不合格硬遮罩層以填充該通孔口;在該不合格硬遮罩層上形成一第二遮罩層;去除該第二遮罩層與該不合格硬遮罩層。形成一底層填充層以填充該通孔開口;在該底層填充層上形成一頂部硬遮罩層;在該頂部硬遮罩層上形成一第三遮罩層;以該第三遮罩層做為遮罩對該頂部硬遮罩層定圖形(patterning);以該頂部硬遮罩層做為遮罩在該介電質層中形成一溝渠開口;以及在該通孔開口中形成一通孔並在該溝渠開口中形成一溝渠。One aspect of the present disclosure provides a method for manufacturing a semiconductor device, including providing a substrate; forming a dielectric layer on the substrate; using the first mask layer as a mask to form a through hole in the dielectric layer opening; forming an unqualified hard mask layer to fill the through hole; forming a second mask layer on the unqualified hard mask layer; removing the second mask layer and the unqualified hard mask layer. Form an underfill layer to fill the via opening; form a top hard mask layer on the underfill layer; form a third mask layer on the top hard mask layer; use the third mask layer as Patterning the top hard mask layer for masking; forming a trench opening in the dielectric layer using the top hard mask layer as a mask; and forming a via hole in the via opening and A trench is formed in the trench opening.

本揭露的另一個方面提供一種半導體元件的製備方法,包括提供一基底;在該基底上形成一介電質層;以一第一遮罩層做為遮罩在該介電質層中形成一通孔開口;形成一不合格硬遮罩層以填充該通孔開口;在該不合格硬遮罩層上形成一第二遮罩層;去除該第二遮罩層;執行一重塗製程,將該不合格硬遮罩層變成一底部填充層;在該底部填充層上形成一頂部硬遮罩層;在該頂部硬遮罩層上形成一第三遮罩層;以該第三遮罩層做為遮罩對該頂部硬遮罩層定圖形(patterning);以該頂部硬遮罩層做為遮罩在該介電質層中形成一溝渠開口;以及在該通孔開口中形成一通孔並在該溝渠開口中形成一溝渠。Another aspect of the present disclosure provides a method for manufacturing a semiconductor device, which includes providing a substrate; forming a dielectric layer on the substrate; using a first mask layer as a mask to form a via in the dielectric layer. hole opening; forming an unqualified hard mask layer to fill the through hole opening; forming a second mask layer on the unqualified hard mask layer; removing the second mask layer; performing a recoating process to The unqualified hard mask layer becomes a bottom filling layer; a top hard mask layer is formed on the bottom filling layer; a third mask layer is formed on the top hard mask layer; the third mask layer is used as Patterning the top hard mask layer for masking; forming a trench opening in the dielectric layer using the top hard mask layer as a mask; and forming a via hole in the via opening and A trench is formed in the trench opening.

本揭露的另一個方面提供一種不合格硬遮罩層,設置於一介電質層中的一通孔開口上,其重工方法包括去除該不合格硬遮罩層;形成一底層填充層以填充該通孔開口;在該底層填充層上形成一頂部硬遮罩層;以及在該頂部硬遮罩層上形成一遮罩層。Another aspect of the present disclosure provides a defective hard mask layer disposed on a via opening in a dielectric layer. The reworking method includes removing the defective hard mask layer; forming an underfill layer to fill the via opening; forming a top hard mask layer on the underfill layer; and forming a mask layer on the top hard mask layer.

本揭露的另一個方面提供一種不合格硬遮罩層,設置於一介電質層中的一通孔開口上,其重工方法包括執行一重塗製程,將該不合格硬遮罩層變成一底部填充層;在該底部填充層上形成一頂部硬遮罩層;以及在該頂部硬遮罩層上形成一遮罩層。Another aspect of the present disclosure provides a defective hard mask layer disposed over a via opening in a dielectric layer. The reworking method includes performing a recoat process to convert the defective hard mask layer into an underfill. layer; forming a top hard mask layer on the bottom fill layer; and forming a mask layer on the top hard mask layer.

由於本揭露的半導體元件製備方法的設計,藉由採用底層填充層或底部填充層,可以減輕或避免通孔開口的擴大及/或對通孔開口輪廓的破壞。因此,半導體元件的產量及/或可靠性可以得到改善。Due to the design of the semiconductor device manufacturing method of the present disclosure, by using an underfill layer or an underfill layer, the expansion of the via opening and/or the damage to the profile of the via opening can be reduced or avoided. Therefore, the yield and/or reliability of the semiconductor device can be improved.

雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多過程,並且以其他過程或其組合替代上述的許多過程。Although the disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and substitutions can be made without departing from the spirit and scope of the disclosure as defined by the claimed claims. For example, many of the processes described above may be implemented in different ways and may be substituted for many of the processes described above with other processes or combinations thereof.

再者,本申請案的範圍並不受限於說明書中所述之過程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之過程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等過程、機械、製造、物質組成物、手段、方法、或步驟係包含於本申請案之申請專利範圍內。Furthermore, the scope of the present application is not limited to the specific embodiments of the process, machinery, manufacture, material compositions, means, methods and steps described in the specification. Those skilled in the art will understand from the disclosure of this disclosure that existing or future developed processes, machines, manufacturing, etc. can be used in accordance with the disclosure to have the same function or achieve substantially the same results as the corresponding embodiments described herein. A material composition, means, method, or step. Accordingly, such processes, machines, manufacturing, material compositions, means, methods, or steps are included in the patentable scope of this application.

1A:半導體元件 1B:半導體元件 10:製備方法 30:製備方法 101:基底 103:蝕刻停止層 105:介電質層 107:通孔 109:溝渠 201:底層填充層 203:底部填充層 205:頂部硬遮罩層 205O:硬遮罩開口 401:第一遮罩層 403:第二遮罩層 405:第三遮罩層 501:不合格硬遮罩層 501R:凹槽 503:導電材料層 EVO:擴展通孔開口 S11:步驟 S13:步驟 S15:步驟 S17:步驟 S19:步驟 S21:步驟 S23:步驟 S31:步驟 S33:步驟 S35:步驟 S37:步驟 S39:步驟 S41:步驟 S43:步驟 S45:步驟 T1:厚度 T2:厚度 T3:厚度 T4:厚度 T5:厚度 T6:厚度 TO:溝渠開口 VO:通孔開口 Z:方向 1A: Semiconductor components 1B:Semiconductor components 10:Preparation method 30:Preparation method 101: Base 103: Etch stop layer 105: Dielectric layer 107:Through hole 109:Ditch 201: Bottom filling layer 203: Bottom filling layer 205: Top hard mask layer 205O: Hard mask opening 401: First mask layer 403: Second mask layer 405: The third mask layer 501: Unqualified hard mask layer 501R: Groove 503: Conductive material layer EVO: Extended Via Opening S11: Steps S13: Steps S15: Steps S17: Steps S19: Steps S21: Steps S23: Steps S31: Steps S33: Steps S35: Steps S37: Steps S39: Steps S41: Steps S43: Steps S45: Steps T1:Thickness T2:Thickness T3:Thickness T4:Thickness T5:Thickness T6:Thickness TO: ditch opening VO: Through hole opening Z: direction

參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。 圖1是流程圖,例示本揭露一個實施例之半導體元件的製備方法。 圖2至圖12是截面圖,例示本揭露一個實施例之半導體元件的製備流程。 圖13是流程圖,例示本揭露另一個實施例之半導體元件的製備方法。 圖14至圖24是截面圖,例示本揭露另一實施例之半導體元件的製備流程。 The disclosure content of this application can be more fully understood by referring to the embodiments and the patent scope combined with the drawings. The same element symbols in the drawings refer to the same elements. FIG. 1 is a flow chart illustrating a method for manufacturing a semiconductor device according to an embodiment of the present disclosure. 2 to 12 are cross-sectional views illustrating a manufacturing process of a semiconductor device according to an embodiment of the present disclosure. FIG. 13 is a flow chart illustrating a method for manufacturing a semiconductor device according to another embodiment of the present disclosure. 14 to 24 are cross-sectional views illustrating a manufacturing process of a semiconductor device according to another embodiment of the present disclosure.

1A:半導體元件 1A: Semiconductor components

101:基底 101: Base

103:蝕刻停止層 103: Etch stop layer

105:介電質層 105: Dielectric layer

107:通孔 107:Through hole

109:溝渠 109:Ditch

EVO:擴展通孔開口 EVO: Extended Via Opening

Claims (10)

一種重工方法,包括: 從一介電質層中的一通孔開口上去除一不合格硬遮罩層; 形成一底部填充層以填充該通孔開口; 在該底部填充層上形成一頂部硬遮罩層;以及 在該頂部硬遮罩層上形成一遮罩層。 A rework method that includes: removing a failed hard mask layer from a via opening in a dielectric layer; forming an underfill layer to fill the via opening; forming a top hard mask layer on the underfill layer; and A mask layer is formed on the top hard mask layer. 如請求項1所述的重工方法,其中該底部填充層與該不合格硬遮罩層包括不同的材料。The reworking method of claim 1, wherein the underfill layer and the failed hard mask layer include different materials. 如請求項2所述的重工方法,其中該不合格硬遮罩層的厚度與該底部填充層的厚度不同。The reworking method of claim 2, wherein the thickness of the unqualified hard mask layer is different from the thickness of the underfill layer. 如請求項3所述的重工方法,其中所述不合格硬遮罩層的厚度在約30奈米至約50奈米之間。The reworking method of claim 3, wherein the thickness of the defective hard mask layer is between about 30 nanometers and about 50 nanometers. 如請求項4所述的重工方法,其中該底部填充層的厚度在約180奈米至約220奈米之間。The reworking method of claim 4, wherein the thickness of the underfill layer is between about 180 nanometers and about 220 nanometers. 一種重工方法,包括: 執行一重塗製程,將一介電質層中的一通孔開口上的一不合格硬遮罩層轉變成一底部填充層; 在該底部填充層上形成一頂部硬遮罩層;以及 在該頂部硬遮罩層上形成一遮罩層。 A rework method that includes: Performing a recoating process to convert a failed hard mask layer over a via opening in a dielectric layer into an underfill layer; forming a top hard mask layer on the underfill layer; and A mask layer is formed on the top hard mask layer. 如請求項6所述的重工方法,其中該底部填充層與該不合格硬遮罩層包括相同的材料。The reworking method of claim 6, wherein the underfill layer and the failed hard mask layer include the same material. 如請求項所述的重工方法,其中該不合格硬遮罩層的厚度與該底部填充層的厚度不同。The reworking method as claimed in the claim, wherein the thickness of the defective hard mask layer is different from the thickness of the underfill layer. 如請求項8所述的重工方法,其中該不合格硬遮罩層的厚度在約30奈米至約50奈米之間。The reworking method of claim 8, wherein the thickness of the failed hard mask layer is between about 30 nanometers and about 50 nanometers. 如請求項9所述的重工方法,其中該底部填充層的厚度在約180奈米至約220奈米之間。The reworking method of claim 9, wherein the thickness of the underfill layer is between about 180 nanometers and about 220 nanometers.
TW111119905A 2022-03-31 2022-05-27 Method for reworking semiconductor device TWI840818B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17/709,821 2022-03-31
US17/709,569 2022-03-31
US17/709,821 US20230317468A1 (en) 2022-03-31 2022-03-31 Reworking process for fabricating semiconductor device
US17/709,569 US20230317512A1 (en) 2022-03-31 2022-03-31 Method for fabricating semiconductor device and reworking process

Publications (2)

Publication Number Publication Date
TW202341292A true TW202341292A (en) 2023-10-16
TWI840818B TWI840818B (en) 2024-05-01

Family

ID=

Also Published As

Publication number Publication date
TW202341299A (en) 2023-10-16
TWI833228B (en) 2024-02-21

Similar Documents

Publication Publication Date Title
TWI622639B (en) Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20060234516A1 (en) Composition for cleaning semiconductor device and method for cleaning semiconductor device using the same
TWI668305B (en) Cleaning liquid containing alkaline earth metal for cleaning semiconductor element and method for cleaning semiconductor element using the cleaning liquid
US20090120457A1 (en) Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
TWI678601B (en) Cleaning liquid for semiconductor element capable of suppressing damage of tungsten-containing material and method for cleaning semiconductor element using the cleaning liquid
JP2011503899A (en) Composition for removing metal hard mask etching residue from a semiconductor substrate
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
JP2015517691A (en) Composition and process for stripping photoresist from a surface comprising titanium nitride
JP4390616B2 (en) Cleaning liquid and method for manufacturing semiconductor device
TW200306465A (en) Photoresist residue remover composition
JP2021506131A (en) A cleaning agent composition for removing residues after etching or ashing from a semiconductor substrate, and a corresponding manufacturing method.
US20220380705A1 (en) Composition For Removing Etch Residues, Methods Of Using And Use Thereof
TWI833228B (en) Method for fabricating semiconductor device
TWI840818B (en) Method for reworking semiconductor device
TWI825770B (en) Semiconductor device with composite barrier structure and method for fabricating the same
US20230317512A1 (en) Method for fabricating semiconductor device and reworking process
US20230317468A1 (en) Reworking process for fabricating semiconductor device
US20230317508A1 (en) Method for fabricating semiconductor device with pre-cleaning treatment
KR102173490B1 (en) Non-aqueous tungsten compatible metal nitride selective etchant and cleaner
CN101908474B (en) Method for manufacturing gate on wafer
TWI794117B (en) Method for fabricating semiconductor device with liner structure
US20040038839A1 (en) Organic stripping composition and method of etching oxide using the same
CN108493104A (en) Method for etching plasma and plasma etching post-processing approach
US20230335495A1 (en) Semiconductor device with liner structure
US20230335437A1 (en) Method for fabricating semiconductor device with liner structure