TW202331811A - Method of manufacturing semiconductor structure - Google Patents

Method of manufacturing semiconductor structure Download PDF

Info

Publication number
TW202331811A
TW202331811A TW111102616A TW111102616A TW202331811A TW 202331811 A TW202331811 A TW 202331811A TW 111102616 A TW111102616 A TW 111102616A TW 111102616 A TW111102616 A TW 111102616A TW 202331811 A TW202331811 A TW 202331811A
Authority
TW
Taiwan
Prior art keywords
layer
metal
dielectric layer
patterned photoresist
semiconductor structure
Prior art date
Application number
TW111102616A
Other languages
Chinese (zh)
Other versions
TWI821875B (en
Inventor
蔡佳宏
Original Assignee
力晶積成電子製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 力晶積成電子製造股份有限公司 filed Critical 力晶積成電子製造股份有限公司
Priority to TW111102616A priority Critical patent/TWI821875B/en
Priority to CN202210115728.3A priority patent/CN116504620A/en
Publication of TW202331811A publication Critical patent/TW202331811A/en
Application granted granted Critical
Publication of TWI821875B publication Critical patent/TWI821875B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Semiconductor Lasers (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A method of manufacturing a semiconductor structure including the following steps. A metal material layer is formed on a substrate. A first patterned photoresist layer is formed by performing a first lithography process using a photomask. A patterning process is performed on the metal material layer to form a metal layer including metal patterns by using the first patterned photoresist layer as a mask. The first patterned photoresist layer is removed. A first dielectric layer covering the metal patterns is formed. A second patterned photoresist layer is formed by performing a second lithography process using the same mask used in the first lithography process. A photoresist material of the second patterned photoresist layer is an inverse tone photoresist material of a photoresist material of the first patterned photoresist layer. An etching process is performed on the first dielectric layer by using the second patterned photoresist layer as a mask. The second patterned photoresist layer is removed. A planarization process is performed on the first dielectric layer.

Description

半導體結構的製造方法Fabrication method of semiconductor structure

本發明是有關於一種半導體製程,且特別是有關於一種半導體結構的製造方法。The present invention relates to a semiconductor manufacturing process, and more particularly to a manufacturing method of a semiconductor structure.

在半導體製程中,常會藉由高密度電漿化學氣相沉積(High Density Plasma-Chemical Vapor Deposition,HDPCVD)法來形成覆蓋金屬層的介電層。然而,由於藉由高密度電漿化學氣相沉積法形成在金屬層上的介電層常會具有較大的階梯高度(step height)與階梯高度差(step height difference),因此在進行後續的平坦化製程(如,化學機械研磨製程)之後,容易產生介電層殘留及/或金屬層受損等缺陷。In the semiconductor manufacturing process, the dielectric layer covering the metal layer is usually formed by High Density Plasma-Chemical Vapor Deposition (HDPCVD) method. However, since the dielectric layer formed on the metal layer by the high-density plasma chemical vapor deposition method often has a large step height and a step height difference, the subsequent planarization After the polishing process (eg, chemical mechanical polishing process), defects such as dielectric layer residue and/or metal layer damage are likely to occur.

本發明提供一種半導體結構的製造方法,其可防止在半導體結構上產生缺陷。The invention provides a method for manufacturing a semiconductor structure, which can prevent defects from being generated on the semiconductor structure.

本發明提出一種半導體結構的製造方法,包括以下步驟。提供基底。在基底上形成金屬材料層。利用光罩進行第一微影製程,而在金屬材料層上形成第一圖案化光阻層。利用第一圖案化光阻層為罩幕,對金屬材料層進行圖案化製程,而形成包括多個金屬圖案的金屬層。移除第一圖案化光阻層。藉由高密度電漿化學氣相沉積法在基底上形成覆蓋多個金屬圖案的第一介電層。利用在第一微影製程中所使用的相同光罩進行第二微影製程,而在第一介電層上形成第二圖案化光阻層。第二圖案化光阻層暴露出位在多個金屬圖案正上方的第一介電層。第二圖案化光阻層的光阻材料為第一圖案化光阻層的光阻材料的反型光阻材料(inverse tone photoresist)。利用第二圖案化光阻層作為罩幕,對第一介電層進行蝕刻製程。移除第二圖案化光阻層。對第一介電層進行平坦化製程,而暴露出多個金屬圖案。The invention provides a method for manufacturing a semiconductor structure, which includes the following steps. Provide the base. A metal material layer is formed on the substrate. The photomask is used to perform a first photolithography process to form a first patterned photoresist layer on the metal material layer. Using the first patterned photoresist layer as a mask, the metal material layer is patterned to form a metal layer including a plurality of metal patterns. The first patterned photoresist layer is removed. A first dielectric layer covering a plurality of metal patterns is formed on the substrate by high-density plasma chemical vapor deposition. A second patterned photoresist layer is formed on the first dielectric layer by performing a second lithography process using the same photomask used in the first lithography process. The second patterned photoresist layer exposes the first dielectric layer directly above the metal patterns. The photoresist material of the second patterned photoresist layer is an inverse tone photoresist of the photoresist material of the first patterned photoresist layer. Using the second patterned photoresist layer as a mask, an etching process is performed on the first dielectric layer. The second patterned photoresist layer is removed. A planarization process is performed on the first dielectric layer to expose a plurality of metal patterns.

依照本發明的一實施例所述,在上述半導體結構的製造方法中,金屬材料層的材料例如是鋁或鋁銅合金。According to an embodiment of the present invention, in the manufacturing method of the above-mentioned semiconductor structure, the material of the metal material layer is, for example, aluminum or an aluminum-copper alloy.

依照本發明的一實施例所述,在上述半導體結構的製造方法中,可使用標準焦點(standard focus)進行第一微影製程。According to an embodiment of the present invention, in the above-mentioned manufacturing method of the semiconductor structure, a standard focus can be used to perform the first lithography process.

依照本發明的一實施例所述,在上述半導體結構的製造方法中,可藉由移動第二微影製程的焦點,而使得第二圖案化光阻層所包含的多個光阻圖案的剖面形狀為錐形(taper)。According to an embodiment of the present invention, in the manufacturing method of the above-mentioned semiconductor structure, the cross-section of the plurality of photoresist patterns included in the second patterned photoresist layer can be made by moving the focus of the second lithography process The shape is tapered.

依照本發明的一實施例所述,在上述半導體結構的製造方法中,金屬層可為最上層金屬層。According to an embodiment of the present invention, in the manufacturing method of the above-mentioned semiconductor structure, the metal layer may be the uppermost metal layer.

依照本發明的一實施例所述,在上述半導體結構的製造方法中,多個金屬圖案可包括彼此分離的第一金屬圖案與第二金屬圖案。第一金屬圖案的寬度可大於第二金屬圖案的寬度。位在第一金屬圖案的正上方的第一介電層的厚度可大於位在第二金屬圖案的正上方的第一介電層的厚度。According to an embodiment of the present invention, in the manufacturing method of the above semiconductor structure, the plurality of metal patterns may include a first metal pattern and a second metal pattern that are separated from each other. The width of the first metal pattern may be greater than that of the second metal pattern. The thickness of the first dielectric layer directly above the first metal pattern may be greater than the thickness of the first dielectric layer directly above the second metal pattern.

依照本發明的一實施例所述,在上述半導體結構的製造方法中,蝕刻製程對位在第一金屬圖案的正上方的第一介電層的蝕刻深度可大於蝕刻製程對位在第二金屬圖案的正上方的第一介電層的蝕刻深度。According to an embodiment of the present invention, in the manufacturing method of the above-mentioned semiconductor structure, the etching depth of the first dielectric layer positioned directly above the first metal pattern in the etching process may be greater than that of the second metal pattern positioned in the etching process. Pattern the etch depth of the first dielectric layer just above.

依照本發明的一實施例所述,在上述半導體結構的製造方法中,平坦化製程可包括對第一介電層進行化學機械研磨製程或對第一介電層依序進行化學機械研磨製程與回蝕刻製程。According to an embodiment of the present invention, in the manufacturing method of the above semiconductor structure, the planarization process may include performing a chemical mechanical polishing process on the first dielectric layer or sequentially performing a chemical mechanical polishing process and etch-back process.

依照本發明的一實施例所述,在上述半導體結構的製造方法中,更可包括以下步驟。在移除第二圖案化光阻層之後,在第一介電層上形成第二介電層。在平坦化製程中,移除第二介電層。According to an embodiment of the present invention, the manufacturing method of the above-mentioned semiconductor structure may further include the following steps. After removing the second patterned photoresist layer, a second dielectric layer is formed on the first dielectric layer. During the planarization process, the second dielectric layer is removed.

依照本發明的一實施例所述,在上述半導體結構的製造方法中,更可包括以下步驟。在暴露出多個金屬圖案之後,在多個金屬圖案上形成保護層(passivation)。According to an embodiment of the present invention, the manufacturing method of the above-mentioned semiconductor structure may further include the following steps. After exposing the plurality of metal patterns, a passivation is formed on the plurality of metal patterns.

基於上述,在本發明所提出的半導體結構的製造方法中,由於第一微影製程與第二微影製程使用相同光罩,且第二圖案化光阻層的光阻材料為第一圖案化光阻層的光阻材料的反型光阻材料,因此無須增加額外的光罩。此外,由於利用第二圖案化光阻層作為罩幕來對覆蓋多個金屬圖案的第一介電層進行蝕刻製程,因此可降低第一介電層的階梯高度與階梯高度差,且可降低後續平坦化製程的負荷(loading)。如此一來,有助於進行後續的平坦化製程,藉此可提升第一介電層在進行平坦化製程之後的厚度均勻性,且可防止在半導體結構上產生缺陷。Based on the above, in the manufacturing method of the semiconductor structure proposed by the present invention, since the first lithography process and the second lithography process use the same mask, and the photoresist material of the second patterned photoresist layer is the first patterned The photoresist material of the photoresist layer is an inverse photoresist material, so there is no need to add an additional photomask. In addition, since the second patterned photoresist layer is used as a mask to perform an etching process on the first dielectric layer covering the plurality of metal patterns, the step height and step height difference of the first dielectric layer can be reduced, and the Loading of the subsequent planarization process. In this way, it is helpful to perform the subsequent planarization process, thereby improving the thickness uniformity of the first dielectric layer after the planarization process, and preventing defects on the semiconductor structure.

為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。In order to make the above-mentioned features and advantages of the present invention more comprehensible, the following specific embodiments are described in detail together with the accompanying drawings.

圖1A至圖1G為根據本發明的一些實施例的半導體結構的製造流程剖面圖。1A-1G are cross-sectional views of a fabrication process of a semiconductor structure according to some embodiments of the present invention.

請參照圖1A,提供基底100。基底100可為半導體基底,如矽基底。此外,在圖1A中雖未示出,但在基底100中可具有摻雜區及或隔離結構等所需的構件,且在基底100上可具有半導體元件(如主動元件及/或被動元件)、介電層與內連線結構等所需的構件,於此省略其說明。Referring to FIG. 1A , a substrate 100 is provided. The substrate 100 can be a semiconductor substrate, such as a silicon substrate. In addition, although not shown in FIG. 1A, there may be required components such as doped regions and/or isolation structures in the substrate 100, and there may be semiconductor elements (such as active elements and/or passive elements) on the substrate 100. , the dielectric layer and the interconnection structure, etc., the description of which is omitted here.

接著,在基底100上形成金屬材料層102。金屬材料層102的材料例如是鋁或鋁銅合金。金屬材料層102的形成方法例如是物理氣相沉積法或化學氣相沉積法。Next, a metal material layer 102 is formed on the substrate 100 . The material of the metal material layer 102 is, for example, aluminum or aluminum-copper alloy. The metal material layer 102 is formed by, for example, physical vapor deposition or chemical vapor deposition.

然後,利用光罩進行第一微影製程,而在金屬材料層102上形成第一圖案化光阻層104。此外,可使用標準焦點進行第一微影製程,藉此可使得第一圖案化光阻層104所包含的多個光阻圖案P1的側壁約垂直於基底100的頂面。另外,標準焦點亦可稱為最佳焦點(best focus)。第一圖案化光阻層104的光阻材料可為正型光阻材料或負型光阻材料。Then, a first photolithography process is performed using a photomask to form a first patterned photoresist layer 104 on the metal material layer 102 . In addition, the standard focus can be used to perform the first lithography process, so that the sidewalls of the plurality of photoresist patterns P1 included in the first patterned photoresist layer 104 are approximately perpendicular to the top surface of the substrate 100 . In addition, the standard focus can also be called best focus. The photoresist material of the first patterned photoresist layer 104 can be a positive photoresist material or a negative photoresist material.

請參照圖1B,利用第一圖案化光阻層104為罩幕,對金屬材料層102進行圖案化製程,而形成包括多個金屬圖案P2的金屬層102a。在一些實施例中,金屬層102a可為最上層金屬層。多個金屬圖案P2可包括彼此分離的金屬圖案P21與金屬圖案P22。金屬圖案P21的寬度W1可大於金屬圖案P22的寬度W2。在一些實施例中,可藉由乾式蝕刻製程對金屬材料層102進行圖案化製程。Referring to FIG. 1B , using the first patterned photoresist layer 104 as a mask, a patterning process is performed on the metal material layer 102 to form a metal layer 102 a including a plurality of metal patterns P2 . In some embodiments, the metal layer 102a may be the uppermost metal layer. The plurality of metal patterns P2 may include a metal pattern P21 and a metal pattern P22 separated from each other. The width W1 of the metal pattern P21 may be greater than the width W2 of the metal pattern P22. In some embodiments, the metal material layer 102 may be patterned by a dry etching process.

接著,移除第一圖案化光阻層104。第一圖案化光阻層104的移除方法例如是乾式剝離法(dry stripping)或濕式剝離法(wet stripping)。Next, the first patterned photoresist layer 104 is removed. The removal method of the first patterned photoresist layer 104 is, for example, dry stripping or wet stripping.

然後,藉由高密度電漿化學氣相沉積法在基底100上形成覆蓋多個金屬圖案P2的介電層106。介電層106可填入金屬圖案P2之間的間隙。此外,位在金屬圖案P21的正上方的介電層106的厚度T1可大於位在金屬圖案P22的正上方的介電層106的厚度T2。介電層106的材料例如是氧化矽。Then, a dielectric layer 106 covering the plurality of metal patterns P2 is formed on the substrate 100 by high density plasma chemical vapor deposition. The dielectric layer 106 may fill the gaps between the metal patterns P2. In addition, the thickness T1 of the dielectric layer 106 directly above the metal pattern P21 may be greater than the thickness T2 of the dielectric layer 106 directly above the metal pattern P22 . The material of the dielectric layer 106 is, for example, silicon oxide.

請參照圖1C,利用在第一微影製程中所使用的相同光罩進行第二微影製程,而在介電層106上形成第二圖案化光阻層108。第二圖案化光阻層108暴露出位在多個金屬圖案P2正上方的介電層106。第二圖案化光阻層108的光阻材料為第一圖案化光阻層104的光阻材料的反型光阻材料。亦即,第一圖案化光阻層104(圖1A)的光阻材料與第二圖案化光阻層108(圖1C)的光阻材料互為不同型的光阻材料。舉例來說,若第一圖案化光阻層104的光阻材料為正型光阻材料,則第二圖案化光阻層108的光阻材料為負型光阻材料。此外,若第一圖案化光阻層104的光阻材料為負型光阻材料,則第二圖案化光阻層108的光阻材料為正型光阻材料。由於第一微影製程與第二微影製程使用相同光罩,且第二圖案化光阻層108的光阻材料為第一圖案化光阻層104的光阻材料的反型光阻材料,因此無須增加額外的光罩,藉此可降低製造成本。另外,可藉由移動第二微影製程的焦點,而使得第二圖案化光阻層108所包含的多個光阻圖案P3的剖面形狀為錐形。Referring to FIG. 1C , a second patterned photoresist layer 108 is formed on the dielectric layer 106 by using the same photomask used in the first lithography process to perform a second lithography process. The second patterned photoresist layer 108 exposes the dielectric layer 106 directly above the plurality of metal patterns P2. The photoresist material of the second patterned photoresist layer 108 is the inverse photoresist material of the photoresist material of the first patterned photoresist layer 104 . That is, the photoresist material of the first patterned photoresist layer 104 ( FIG. 1A ) and the photoresist material of the second patterned photoresist layer 108 ( FIG. 1C ) are different types of photoresist materials. For example, if the photoresist material of the first patterned photoresist layer 104 is a positive photoresist material, then the photoresist material of the second patterned photoresist layer 108 is a negative photoresist material. In addition, if the photoresist material of the first patterned photoresist layer 104 is a negative photoresist material, then the photoresist material of the second patterned photoresist layer 108 is a positive photoresist material. Since the first lithography process and the second lithography process use the same photomask, and the photoresist material of the second patterned photoresist layer 108 is the inverse photoresist material of the photoresist material of the first patterned photoresist layer 104, Therefore, there is no need to add additional photomasks, thereby reducing manufacturing costs. In addition, the cross-sectional shape of the plurality of photoresist patterns P3 included in the second patterned photoresist layer 108 can be tapered by moving the focus of the second lithography process.

請參照圖1D,利用第二圖案化光阻層108作為罩幕,對介電層106進行蝕刻製程,藉此可降低介電層106的階梯高度與階梯高度差,且可降低後續平坦化製程的負荷。在一些實施例中,蝕刻製程可同時移除部分第二圖案化光阻層108,而降低第二圖案化光阻層108的高度,且加大光阻圖案P3之間的開口寬度。此外,蝕刻製程對位在金屬圖案P21的正上方的介電層106的蝕刻深度D1可大於蝕刻製程對位在金屬圖案P22的正上方的介電層106的蝕刻深度D2。在一些實施例中,由於光阻圖案P3的剖面形狀為錐形,因此在對介電層106進行蝕刻製程之後,可使得介電層106具有平緩峰(smooth peak)(即,坡度較緩的峰),而有助於進行後續的製程(如,膜層沉積或化學機械研磨製程)。舉例來說,在金屬圖案P21上方的介電層106可具有平緩峰SP。蝕刻製程例如是乾式蝕刻製程。Referring to FIG. 1D, the dielectric layer 106 is etched using the second patterned photoresist layer 108 as a mask, thereby reducing the step height and step height difference of the dielectric layer 106 and reducing the subsequent planarization process. load. In some embodiments, the etching process can simultaneously remove part of the second patterned photoresist layer 108 to reduce the height of the second patterned photoresist layer 108 and increase the width of the opening between the photoresist patterns P3. In addition, the etching depth D1 of the etching process on the dielectric layer 106 directly above the metal pattern P21 may be greater than the etching depth D2 of the etching process on the dielectric layer 106 directly above the metal pattern P22 . In some embodiments, since the cross-sectional shape of the photoresist pattern P3 is tapered, the dielectric layer 106 can have a smooth peak (that is, a relatively gentle slope) after the etching process is performed on the dielectric layer 106 . peak), which is helpful for subsequent processes (such as film deposition or chemical mechanical polishing process). For example, the dielectric layer 106 above the metal pattern P21 may have a gentle peak SP. The etching process is, for example, a dry etching process.

請參照圖1E,移除第二圖案化光阻層108。第二圖案化光阻層108的移除方法例如是乾式剝離法或濕式剝離法。Referring to FIG. 1E , the second patterned photoresist layer 108 is removed. The removal method of the second patterned photoresist layer 108 is, for example, a dry stripping method or a wet stripping method.

接著,在移除第二圖案化光阻層108之後,可在介電層106上形成介電層110。介電層110有助於降低圖1E中的結構的階梯高度差,藉此有助於進行後續的製程(如,化學機械研磨製程)。介電層110的材料例如是氧化矽,如四乙氧基矽烷(tetraethyl orthosilicate,TEOS)氧化矽,但本發明並不以此為限。在另一些實施例中,亦可不形成介電層110。Next, after removing the second patterned photoresist layer 108 , a dielectric layer 110 may be formed on the dielectric layer 106 . The dielectric layer 110 helps to reduce the step height difference of the structure in FIG. 1E , thereby facilitating subsequent processes (eg, chemical mechanical polishing process). The material of the dielectric layer 110 is, for example, silicon oxide, such as tetraethoxysilane (tetraethyl orthosilicate, TEOS) silicon oxide, but the invention is not limited thereto. In other embodiments, the dielectric layer 110 may not be formed.

請參照圖1F,對介電層106進行平坦化製程,而暴露出多個金屬圖案P2。平坦化製程可包括對介電層106進行化學機械研磨製程或對介電層106依序進行化學機械研磨製程與回蝕刻製程。此外,在平坦化製程中,可移除介電層110。在一些實施例中,在平坦化製程中,可完全移除介電層110。Referring to FIG. 1F , a planarization process is performed on the dielectric layer 106 to expose a plurality of metal patterns P2 . The planarization process may include performing a chemical mechanical polishing process on the dielectric layer 106 or sequentially performing a chemical mechanical polishing process and an etch-back process on the dielectric layer 106 . In addition, during the planarization process, the dielectric layer 110 may be removed. In some embodiments, the dielectric layer 110 may be completely removed during the planarization process.

請參照圖1G,在暴露出多個金屬圖案P2之後,可在多個金屬圖案P2上形成保護層112。此外,保護層112可形成在介電層106上。保護層112可為單層結構或多層結構。在本實施例中,保護層112是以多層結構為例,且可包括保護層112a與保護層112b,但本發明並不以此為限。保護層112a可形成在多個金屬圖案P2與介電層106上。保護層112a的材料例如是氧化矽。保護層112a的形成方法例如是化學氣相沉積法。保護層112b可形成在保護層112a上。保護層112b的材料例如是氮化矽。保護層112b的形成方法例如是化學氣相沉積法。Referring to FIG. 1G , after exposing the plurality of metal patterns P2 , a protection layer 112 may be formed on the plurality of metal patterns P2 . Additionally, a protective layer 112 may be formed on the dielectric layer 106 . The protection layer 112 can be a single layer structure or a multi-layer structure. In this embodiment, the protection layer 112 is an example of a multi-layer structure, and may include a protection layer 112a and a protection layer 112b, but the invention is not limited thereto. The passivation layer 112 a may be formed on the plurality of metal patterns P2 and the dielectric layer 106 . The material of the passivation layer 112a is, for example, silicon oxide. The formation method of the protective layer 112a is, for example, chemical vapor deposition. The protective layer 112b may be formed on the protective layer 112a. The material of the passivation layer 112b is, for example, silicon nitride. The formation method of the protection layer 112b is, for example, a chemical vapor deposition method.

在一些實施例中,在金屬層102a為最上層金屬層的情況下,可對圖1G的半導體結構10進行後續的封裝製程(如,三維(three-dimensional,3D)半導體封裝製程)。此外,由於後續進行的封裝製程為所屬技術領域具有通常知識者所周知,於此省略其說明。In some embodiments, if the metal layer 102 a is the uppermost metal layer, subsequent packaging processes (eg, three-dimensional (3D) semiconductor packaging process) may be performed on the semiconductor structure 10 in FIG. 1G . In addition, since the subsequent encapsulation process is well known to those skilled in the art, its description is omitted here.

基於上述實施例可知,在半導體結構10的製造方法中,由於第一微影製程與第二微影製程使用相同光罩,且第二圖案化光阻層108的光阻材料為第一圖案化光阻層104的光阻材料的反型光阻材料,因此無須增加額外的光罩。此外,由於利用第二圖案化光阻層108作為罩幕來對覆蓋多個金屬圖案P2的介電層106進行蝕刻製程,因此可降低介電層106的階梯高度與階梯高度差,且可降低後續平坦化製程的負荷。如此一來,有助於進行後續的平坦化製程,藉此可提升介電層106在進行平坦化製程之後的厚度均勻性,且可防止在半導體結構上產生缺陷。Based on the above-mentioned embodiments, it can be seen that in the manufacturing method of the semiconductor structure 10, since the first lithography process and the second lithography process use the same mask, and the photoresist material of the second patterned photoresist layer 108 is the first patterned The photoresist material of the photoresist layer 104 is an inverse photoresist material, so no additional photomask is required. In addition, since the second patterned photoresist layer 108 is used as a mask to perform an etching process on the dielectric layer 106 covering the plurality of metal patterns P2, the step height and step height difference of the dielectric layer 106 can be reduced, and the The load of the subsequent planarization process. In this way, the subsequent planarization process is facilitated, thereby improving the thickness uniformity of the dielectric layer 106 after the planarization process, and preventing defects on the semiconductor structure.

綜上所述,在上述實施例的半導體結構的製造方法中,由於第一微影製程與第二微影製程使用相同光罩,且第二圖案化光阻層的光阻材料為第一圖案化光阻層的光阻材料的反型光阻材料,因此無須增加額外的光罩。此外,由於利用第二圖案化光阻層作為罩幕來對覆蓋多個金屬圖案的介電層進行蝕刻製程,因此可降低介電層的階梯高度與階梯高度差,藉此可提升介電層在進行平坦化製程之後的厚度均勻性,且可防止在半導體結構上產生缺陷。To sum up, in the manufacturing method of the semiconductor structure of the above embodiment, since the first lithography process and the second lithography process use the same mask, and the photoresist material of the second patterned photoresist layer is the first pattern The anti-type photoresist material of the photoresist material of the photoresist layer, so there is no need to add an additional photomask. In addition, since the second patterned photoresist layer is used as a mask to etch the dielectric layer covering the plurality of metal patterns, the step height and step height difference of the dielectric layer can be reduced, thereby improving the dielectric layer. Thickness uniformity after the planarization process, and can prevent defects on the semiconductor structure.

雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。Although the present invention has been disclosed above with the embodiments, it is not intended to limit the present invention. Anyone with ordinary knowledge in the technical field may make some changes and modifications without departing from the spirit and scope of the present invention. The scope of protection of the present invention should be defined by the scope of the appended patent application.

10:半導體結構 100:基底 102:金屬材料層 102a:金屬層 104:第一圖案化光阻層 106, 110:介電層 108:第二圖案化光阻層 112, 112a, 122b:保護層 D1, D2:蝕刻深度 P1, P3:光阻圖案 P2, P21, P22:金屬圖案 SP:平緩峰 T1, T2:厚度 W1, W2:寬度 10:Semiconductor structure 100: base 102: metal material layer 102a: metal layer 104: the first patterned photoresist layer 106, 110: Dielectric layer 108: The second patterned photoresist layer 112, 112a, 122b: protective layer D1, D2: etching depth P1, P3: photoresist pattern P2, P21, P22: Metal pattern SP: smooth peak T1, T2: Thickness W1, W2: width

圖1A至圖1G為根據本發明的一些實施例的半導體結構的製造流程剖面圖。1A-1G are cross-sectional views of a fabrication process of a semiconductor structure according to some embodiments of the present invention.

100:基底 100: base

102a:金屬層 102a: metal layer

106:介電層 106: Dielectric layer

108:第二圖案化光阻層 108: The second patterned photoresist layer

D1,D2:蝕刻深度 D1, D2: etching depth

P2,P21,P22:金屬圖案 P2, P21, P22: metal pattern

P3:光阻圖案 P3: photoresist pattern

SP:平緩峰 SP: smooth peak

Claims (10)

一種半導體結構的製造方法,包括: 提供基底; 在所述基底上形成金屬材料層; 利用光罩進行第一微影製程,而在所述金屬材料層上形成第一圖案化光阻層; 利用所述第一圖案化光阻層為罩幕,對所述金屬材料層進行圖案化製程,而形成包括多個金屬圖案的金屬層; 移除所述第一圖案化光阻層; 藉由高密度電漿化學氣相沉積法在所述基底上形成覆蓋多個所述金屬圖案的第一介電層; 利用在所述第一微影製程中所使用的相同所述光罩進行第二微影製程,而在所述第一介電層上形成第二圖案化光阻層,其中所述第二圖案化光阻層暴露出位在多個所述金屬圖案正上方的所述第一介電層,且所述第二圖案化光阻層的光阻材料為所述第一圖案化光阻層的光阻材料的反型光阻材料; 利用所述第二圖案化光阻層作為罩幕,對所述第一介電層進行蝕刻製程; 移除所述第二圖案化光阻層;以及 對所述第一介電層進行平坦化製程,而暴露出多個所述金屬圖案。 A method of fabricating a semiconductor structure, comprising: provide the basis; forming a metal material layer on the substrate; performing a first lithography process using a photomask to form a first patterned photoresist layer on the metal material layer; Using the first patterned photoresist layer as a mask, performing a patterning process on the metal material layer to form a metal layer including a plurality of metal patterns; removing the first patterned photoresist layer; forming a first dielectric layer covering a plurality of the metal patterns on the substrate by high density plasma chemical vapor deposition; A second patterned photoresist layer is formed on the first dielectric layer by performing a second lithography process using the same photomask used in the first lithography process, wherein the second pattern The photoresist layer exposes the first dielectric layer directly above the plurality of metal patterns, and the photoresist material of the second patterned photoresist layer is that of the first patterned photoresist layer Inverse photoresist material of photoresist material; performing an etching process on the first dielectric layer by using the second patterned photoresist layer as a mask; removing the second patterned photoresist layer; and A planarization process is performed on the first dielectric layer to expose a plurality of the metal patterns. 如請求項1所述的半導體結構的製造方法,其中所述金屬材料層的材料包括鋁或鋁銅合金。The method for manufacturing a semiconductor structure according to claim 1, wherein the material of the metal material layer includes aluminum or an aluminum-copper alloy. 如請求項1所述的半導體結構的製造方法,其中使用標準焦點進行所述第一微影製程。The method of manufacturing a semiconductor structure as claimed in claim 1, wherein the first lithography process is performed using a standard focus. 如請求項1所述的半導體結構的製造方法,其中藉由移動所述第二微影製程的焦點,而使得所述第二圖案化光阻層的多個光阻圖案所包含的剖面形狀為錐形。The method for manufacturing a semiconductor structure according to claim 1, wherein by moving the focus of the second lithography process, the cross-sectional shapes included in the plurality of photoresist patterns of the second patterned photoresist layer are: tapered. 如請求項1所述的半導體結構的製造方法,其中所述金屬層包括最上層金屬層。The method of manufacturing a semiconductor structure as claimed in claim 1, wherein the metal layer comprises an uppermost metal layer. 如請求項1所述的半導體結構的製造方法,其中 多個所述金屬圖案包括彼此分離的第一金屬圖案與第二金屬圖案, 所述第一金屬圖案的寬度大於所述第二金屬圖案的寬度,且 位在所述第一金屬圖案的正上方的所述第一介電層的厚度大於位在所述第二金屬圖案的正上方的所述第一介電層的厚度。 The method for manufacturing a semiconductor structure as claimed in claim 1, wherein The plurality of metal patterns include a first metal pattern and a second metal pattern separated from each other, the width of the first metal pattern is greater than the width of the second metal pattern, and The thickness of the first dielectric layer directly above the first metal pattern is greater than the thickness of the first dielectric layer directly above the second metal pattern. 如請求項6所述的半導體結構的製造方法,其中所述蝕刻製程對位在所述第一金屬圖案的正上方的所述第一介電層的蝕刻深度大於所述蝕刻製程對位在所述第二金屬圖案的正上方的所述第一介電層的蝕刻深度。The method for manufacturing a semiconductor structure according to claim 6, wherein the etching depth of the first dielectric layer positioned directly above the first metal pattern in the etching process is greater than that of the etching process positioned in the The etching depth of the first dielectric layer right above the second metal pattern. 如請求項1所述的半導體結構的製造方法,其中所述平坦化製程包括對所述第一介電層進行化學機械研磨製程或對所述第一介電層依序進行所述化學機械研磨製程與回蝕刻製程。The method for manufacturing a semiconductor structure according to claim 1, wherein the planarization process includes performing a chemical mechanical polishing process on the first dielectric layer or sequentially performing the chemical mechanical polishing on the first dielectric layer process and etch-back process. 如請求項1所述的半導體結構的製造方法,更包括: 在移除所述第二圖案化光阻層之後,在所述第一介電層上形成第二介電層;以及 在所述平坦化製程中,移除所述第二介電層。 The method for manufacturing a semiconductor structure as described in Claim 1, further comprising: forming a second dielectric layer on the first dielectric layer after removing the second patterned photoresist layer; and During the planarization process, the second dielectric layer is removed. 如請求項1所述的半導體結構的製造方法,更包括: 在暴露出多個所述金屬圖案之後,在多個所述金屬圖案上形成保護層。 The method for manufacturing a semiconductor structure as described in Claim 1, further comprising: After exposing the plurality of metal patterns, a protective layer is formed on the plurality of metal patterns.
TW111102616A 2022-01-21 2022-01-21 Method of manufacturing semiconductor structure TWI821875B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW111102616A TWI821875B (en) 2022-01-21 2022-01-21 Method of manufacturing semiconductor structure
CN202210115728.3A CN116504620A (en) 2022-01-21 2022-02-07 Method for manufacturing semiconductor structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW111102616A TWI821875B (en) 2022-01-21 2022-01-21 Method of manufacturing semiconductor structure

Publications (2)

Publication Number Publication Date
TW202331811A true TW202331811A (en) 2023-08-01
TWI821875B TWI821875B (en) 2023-11-11

Family

ID=87318964

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111102616A TWI821875B (en) 2022-01-21 2022-01-21 Method of manufacturing semiconductor structure

Country Status (2)

Country Link
CN (1) CN116504620A (en)
TW (1) TWI821875B (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9738765B2 (en) * 2015-02-19 2017-08-22 International Business Machines Corporation Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
US10090194B2 (en) * 2016-03-18 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10838295B2 (en) * 2017-05-04 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask and fabrication method therefor

Also Published As

Publication number Publication date
TWI821875B (en) 2023-11-11
CN116504620A (en) 2023-07-28

Similar Documents

Publication Publication Date Title
TWI505324B (en) Method for forming high density patterns
US20070111467A1 (en) Method for forming trench using hard mask with high selectivity and isolation method for semiconductor device using the same
KR20210053212A (en) Self-aligned double patterning
US6872633B2 (en) Deposition and sputter etch approach to extend the gap fill capability of HDP CVD process to ≦0.10 microns
KR100518587B1 (en) Fabrication Method for shallow trench isolation structure and microelectronic device having the same structure
KR20210021227A (en) Semiconductor device with support pattern
KR20080099999A (en) Method of forming a micro pattern in a semiconductor device
TWI821875B (en) Method of manufacturing semiconductor structure
KR100403627B1 (en) Trench isolation method
CN108735585B (en) The production method of mask pattern
JP2007027681A (en) Method for manufacturing semiconductor device
JP2001203262A (en) Semiconductor wafer, its processing method and method of manufacturing semiconductor device
JP2009032872A (en) Production process of semiconductor device
KR20070113604A (en) Method for forming micro pattern of semiconductor device
TW202135241A (en) Method for forming semiconductor structure
TWI818787B (en) Method of patterning underlying structure
TWI803645B (en) Method for planarizing semiconductor structure
TWI417989B (en) Methods of forming semiconductor trench and forming dual trenches, and structure for isolating devices
TWI744059B (en) Methods for forming semiconductor devices
CN107958868B (en) Method for manufacturing isolation structure
TW548526B (en) Method for controlling the topography of energy sensitive layer
TW202207293A (en) Method of forming semiconductor structure
KR100831676B1 (en) Method of manufacturing isolation layers in semiconductor device
KR100499396B1 (en) Method for manufacturing semiconductor device
US7943053B2 (en) Method of forming a micro pattern in semiconductor device