TW202325650A - 用於先進積體電路結構的跨接閘極 - Google Patents

用於先進積體電路結構的跨接閘極 Download PDF

Info

Publication number
TW202325650A
TW202325650A TW111142017A TW111142017A TW202325650A TW 202325650 A TW202325650 A TW 202325650A TW 111142017 A TW111142017 A TW 111142017A TW 111142017 A TW111142017 A TW 111142017A TW 202325650 A TW202325650 A TW 202325650A
Authority
TW
Taiwan
Prior art keywords
vertical stack
horizontal nanowire
nanowire segments
segments
source
Prior art date
Application number
TW111142017A
Other languages
English (en)
Inventor
蘇克魯 葉門尼斯
李奧納 古勒
吉伯特 狄威
塔何 甘尼
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202325650A publication Critical patent/TW202325650A/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Human Computer Interaction (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

描述了用於先進積體電路結構的跨接閘極。例如,積體電路結構包含第一水平奈米線段的垂直堆疊。第二水平奈米線段的垂直堆疊與該第一水平奈米線段的垂直堆疊間隔開。導電結構橫向地在該第一水平奈米線段的垂直堆疊和該第二水平奈米線段的垂直堆疊之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸。第一源極或汲極結構在與該導電結構相反的一側處耦接到該第一水平奈米線段的垂直堆疊。第二源極或汲極結構在與該導電結構相反的一側處耦接到該第二水平奈米線段的垂直堆疊。

Description

用於先進積體電路結構的跨接閘極
本揭露的實施方式是在積體電路結構和處理領域中,尤其是用於先進積體電路結構的跨接閘極。
近幾十年來,積體電路中的特徵縮小已成為不斷成長的半導體工業的背後的驅動力。縮得越來越小的特徵致使在半導體晶片有限的基板面上的功能單元的密度增加。例如,縮小的電晶體尺寸可在晶片上整合數量更多的記憶體或邏輯裝置,致使製造出的產品增加容量。唯,對於不斷更多的容量的驅動並非沒有問題。對於各裝置的效能的最佳化的需求變得越來越重要。
在積體電路裝置的製造,隨著裝置尺寸持續縮小,多閘極電晶體,例如三閘極電晶體,變得越來越有優勢。在習用製程中,三閘極電晶體一般在塊狀矽基板或者絕緣體上矽(silicon-on-insulator)基板上製造。在一些情況下,塊狀矽基板是較佳的,因為它們較低的成本並且因為它們能夠實現較不複雜的三閘極製造製程。在另一態樣,隨著微電子裝置尺寸縮小到低於10奈米(nm)節點,要維持遷移率改善和短通道控制在裝置製造中出現了挑戰。
唯,縮小多閘極奈米線電晶體並非沒有問題。當這些微電子電路的基礎建立方塊的尺度縮小且於給定區中製造的基礎建立方塊的眾多數量增加,對圖案化這些建立方塊的微影製程的限制成為壓倒性的問題。特別地,在半導體堆疊中圖案化的特徵的最小尺寸(臨界尺寸)與這些特徵之間的間距之間可能存在折衷。
描述了用於先進積體電路結構的跨接閘極。於之後的敘述,提出了許多細節,例如特定的整合及材料方案,以提供對於本揭露的實施方式的透徹理解。顯然地,對於所屬技術領域中具有通常知識者而言,本揭露的實施方式可被實現而無這些特定的細節。於其它例子,眾所皆知的特徵,例如積體電路設計布局,不以細節的方式敘述,以避免非必要地使本揭露的實施方式晦澀難懂。再者,可以理解的是,於圖式所示的多樣的實施方式僅為說明性表示而不一定按比例繪製。
特定的用語亦可用於以下的敘述,僅為了參照的目的,且因此無意為限制性的。例如,用語例如「上(或較上)」、「下(或較下)」、「之上」及「之下」表示所參照之圖式中的方向。諸如「前」、「背」、「後」、及「側」的用語敘述組件的部分的方位及/或位置,其於一致但任意的參照的框架中,其由參照文字及敘述討論的組件的關聯的圖式而清楚。此用語可包含於上特別提及的字詞、其衍生物、及相似重要性的字詞。
此處所述的實施方式可為對於前段製程(FEOL)半導體處理及結構。FEOL為積體電路(IC)製造的第一部分,其中個別的裝置(例如,電晶體、電容器、電阻器等)於半導體基板或層中被圖案化。FEOL一般涵蓋到(但不包含)金屬互連體層的沉積為止的所有事情。在最後FEOL操作後,所成的為典型的有隔離的電晶體的晶圓(例如,沒有任何導線)。
此處所述的實施方式可為對於後段製程(BEOL)半導體處理及結構。BEOL為IC製造的第二部分,其中個別的裝置(例如,電晶體、電容器、電阻器等)以導線互連於晶圓上,例如,一或更多金屬化層。BEOL包含接點、絕緣層(介電質)、金屬級、及接合處,用於晶片對封裝的連接。於製造階段的BEOL部分中,形成接點(墊)、互連體導線、通孔、及介電質結構。對於現代IC製程,BEOL中可以添加10個以上的金屬層。
於下所述的實施方式可應用於FEOL處理及結構、BEOL處理及結構、或FEOL及BEOL處理及結構的兩者。特別是,雖然範例處理方案可使用FEOL處理場景描述,此方式亦可應用於BEOL處理。相似地,雖然範例處理方案可使用BEOL處理場景描述,此方式亦可應用於FEOL處理。
本文所述的一個或多個實施方式針對跨接閘極整合方案。根據本揭露的一個或多個實施方式,「跨接閘極」適用於標準胞和SRAM,作為用於閘極全環繞裝置的一種有價值的結構。可以實施跨接閘極以實現擴散接點和閘極之間的連接,而無需後端金屬路由資源。實施方式可包含閘極全環繞裝置。應當理解,除非另有說明,否則本文提及的奈米線可表示奈米線或奈米帶。
圖1A繪示表示用於SRAM裝置或虛置裝置的跨接閘極以及基礎結構的平面視圖,根據本揭露的實施方式。
參考圖1A的左手部分,SRAM裝置的一部分100包含擴散區102和上覆閘極104、溝槽接點106和主動閘極108。閘極接點(GCN)110耦接溝槽接點106和主動閘極108。SRAM裝置的部分100是基於SRAM裝置的部分112,包含擴散區102和上覆閘極104、溝槽接點106和主動閘極108。需要從主動閘極108到溝槽接點106的短路114時,可以施行結構100。
參考圖1A的右手部分,虛置裝置116包含擴散區118和上覆信號線120、虛置閘極124和信號線126。接點128耦接信號線120、虛置閘極124和信號線126。虛置裝置116是基於虛置裝置130,包含擴散區118和上覆信號線120、虛置閘極124和信號線126。當需要短路時可以施行結構(虛置裝置116)。
為了提供上下文,在標準胞中,當架構在其路由能力方面受到限制時,一些胞不可避免地會增長以解決複雜的電路路由需求。經由添加虛置裝置並針對要用後端路由資源來路由的節點提供更多空間來實現增長。可以藉由以下三種方式之一在標準胞中產生此類虛置裝置:(i)使用先進節點功率傳遞方案,可以藉由將源極和汲極連接到電力(pmos)或地端(nmos)到電力來產生虛置裝置無需後端金屬軌;(ii)使用類似閘極接點(GCN)的構造,其中閘極和擴散接點被一個附加層跨立,該附加層將再次將閘極和擴散接點短路,而無需後端金屬軌;(iii)使用M0使用溝槽接點通孔(vct)將汲極-源極連接在一起,或使用vct和閘極接點通孔(vcg)接點將閘極/源極和汲極短路在一起。
然而,當虛置裝置需要使用Metal0資源時,它可能需要更多的胞增長,從而對縮小產生更大的影響,因為增長的主要原因是缺乏路由資源。因此,製作不需要m0資源的虛置裝置的解決方案將很有價值。
圖1B繪示表示各種短路虛置裝置的平面視圖,根據本揭露的實施方式。
參考圖1B的左手部分,虛置裝置132包含擴散區和上覆虛置閘極134和溝槽接點136。背側電力/接地接點138耦接溝槽接點136。
參考圖1B的中間部分,虛置裝置140包含擴散區和上覆虛置閘極142和溝槽接點144。閘極接點(GCN)146耦接溝槽接點144。
參考圖1B的右手部分,虛置裝置148包含擴散區和上覆虛置閘極150和溝槽接點152。金屬線(M0)154例如藉由通孔156耦接溝槽接點152。
在SRAM胞中,可能需要GCN構造或基於Metal0的結構來建立poly和tcn之間的連接。GCN構造通常會引入製程複雜性,並成為連接閘極和擴散接點的約束特徵之一。另一個解決方案是m0的使用,它使位元胞(bitcell)周圍的後端資源使用變得複雜。
圖1C繪示表示各種短路SRAM裝置的平面視圖,根據本揭露的實施方式。
參考圖1C的左手部分(i),SRAM裝置的一部分158包含擴散區160以及上覆閘極162和溝槽接點164。閘極接點(GCN)166耦接溝槽接點164和耦接多個上覆閘極162中的一者。
參考圖1C的右側部分(ii),SRAM裝置的部分168包含擴散區170和上覆閘極176,以及溝槽接點174。金屬線(M0)178例如藉由通孔180和182耦接溝槽接點174和上覆閘極176。
根據本揭露的一個或多個實施方式,「跨接閘極」製程被實現為一種在不使用後端金屬路由資源的情況下製造虛置裝置的方式。在一個實施方式中,在鰭式修整隔離(FTI)製程期間,奈米線或帶被切割並在後續製程中用閘極材料填充。該製程將本質矽帶與閘極材料短路。在一個實施方式中,這特徵由於閘極全環繞裝置屬性而不具有基板連接。在一個實施方式中,使該方法成為可能的另一項是本質矽橫跨在閘極接點和高摻雜磊晶矽之間的距離明顯短於空乏區距離,從而導致穿透。儘管如此,如果該帶由另一種具有不同成分的半導體製成,則無論是否穿透,該實施方案仍然適用。
為了提供進一步的上下文,在標準胞中,可以使用基於背側連接的虛置裝置避免使用Metal0,但這些類型的虛置裝置只能用於電力或接地節點,並且不涵蓋所需的整個虛置裝置範圍。避免使用Metal0的另一種方法是使用GCN結構。在標準胞中使用這種功能需要非常嚴格的製程控制,並且可以被認為是高風險項目。GCN需要接觸擴散接點(diffcon)、閘極、diffcon但不應碰到虛置裝置左右兩側上的下一個閘極。並且,使用Metal0會影響路由資源並導致更多的胞增長。在SRAM位元胞的情況下,gcn是一種通常提出的解決方案,但垂直重疊公差很嚴格並且通常被認為是製程風險,能限制胞縮小。另一方面,M0的使用使位元胞上的路由資源複雜化。
根據本揭露的一個或多個實施方式,藉由在閘極區域中切割該帶(例如,如在FTI製程中)並用閘極金屬填充它並與該帶短路,可以實現閘極和擴散連接之間的連接。在沒有Metal0資源的標準胞的情況下,可以施行實施方式來製造虛置裝置。可以施行實施方式以避免在SRAM位元胞中的Metal0使用GCN。可以施行實施方式以在不使用M0資源的情況下實現閘極到擴散連接。與GCN相比,可以施行本文描述的實施方式以提供具有更高製程裕度和更低容量的製程。可以施行實施方式以實現具有路由缺陷的標準胞架構中的寬度減少。在一個實施方式中,當經由在閘極全環繞裝置中使用帶將閘極短路到擴散時,實施方式是可檢測的。
作為示例性處理方案和所得結構,圖2A至2C繪示用於製造具有跨接閘極的閘極全環繞積體電路結構的方法中的各種操作的橫截面視圖,根據本揭露的實施方式。圖3繪示具有跨接閘極的閘極全環繞積體電路結構的橫截面視圖,根據本揭露的實施方式。
參考圖2A,製造積體電路結構的方法包含形成水平奈米線(例如矽奈米線)的垂直堆疊210。在所示的示例性起始結構中,水平奈米線的垂直堆疊210形成在諸如矽基板的基板202的子鰭區204上方。子鰭區204突出穿過淺溝槽隔離(STI)結構206。源極或汲極結構228(諸如磊晶矽鍺結構)耦接到水平奈米線的垂直堆疊210的端部。溝槽介電質結構224在源極或汲極結構228上方,並且最終可以被導電溝槽接點結構取代。介電質間隔物材料222沿著溝槽介電質結構224的多側邊和在水平奈米線的垂直堆疊210的部分上方形成。然後可以在該結構上方形成氧化物層230,例如氧化矽或二氧化矽襯墊。
參考圖2B,在圖2A的開口和腔體中形成硬遮罩材料232(諸如碳硬遮罩)。在所得結構上方形成微影層或堆疊234。在微影層或堆疊234中形成開口236。開口236暴露了硬遮罩材料232的一部分和氧化層230的一部分230A。
參考圖2C,圖2B的結構暴露於蝕刻製程。蝕刻製程蝕刻硬遮罩材料232的暴露部分和氧化物層230的部分230A。蝕刻製程還涉及切割水平奈米線的垂直堆疊210以形成第一水平奈米線段的垂直堆疊(左210A)、以及與第一水平奈米線段的垂直堆疊間隔開的第二水平奈米線段的垂直堆疊(右210A),在相鄰的源極或汲極結構228之間形成開放腔體238。
參考圖3,形成導電結構250,例如閘極電極材料,該導電結構250橫向地在該第一水平奈米線段的垂直堆疊(左210A)和該第二水平奈米線段的垂直堆疊(右210A)之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸。源極或汲極結構228A在與導電結構250相反的一側處耦接到第一水平奈米線段的垂直堆疊(左210A)。源極或汲極結構228B在與導電結構250相反的一側處耦接到第二水平奈米線段的垂直堆疊(右210A)。在一實施方式中,導電結構250將源極或汲極結構228A短路到源極或汲極結構228B。
根據本揭露的實施方式,再次參考圖3,積體電路結構300包含第一水平奈米線段的垂直堆疊(左210A)。第二水平奈米線段的垂直堆疊(右210A)與該第一水平奈米線段的垂直堆疊(左210A)間隔開。導電結構250橫向地在該第一水平奈米線段的垂直堆疊(左210A)和該第二水平奈米線段的垂直堆疊(右210A)之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸。第一源極或汲極結構228A在與導電結構250相反的一側處耦接到第一水平奈米線段的垂直堆疊(左210A)。第二源極或汲極結構228B在與該導電結構250相反的一側處耦接到該第二水平奈米線段的垂直堆疊(右210A)。
在一個實施方式中,導電結構250垂直地在子鰭結構(子鰭區204)上方,如圖所示。在一個實施方式中,該導電結構250具有的最上表面在該第一和第二源極或汲極結構228A/228B的最上表面之上,如圖所示。
在一個實施方式中,該第一和第二源極或汲極結構228A/228B具有的半導體材料成分不同於該第一和第二水平奈米線段的垂直堆疊210A的半導體材料成分。在一個實施方式中,第一和第二源極或汲極結構228A/228B包含矽和鍺,並且第一和第二水平奈米線段的垂直堆疊210A包含矽。
應了解,在特定實施方式中,奈米線或奈米帶或犧牲中介層可由矽組成。如通篇所使用,矽層可用於描述由非常大量的矽(如果不是全部)組成的矽材料。然而,應當理解,實際上,100%純Si可能難以形成,因此可能包含極小百分比的碳、鍺或錫。此類雜質可能在Si沉積過程中作為不可避免的雜質或成分包含在內,或者可能在沉積後處理過程中擴散時「污染」Si。如此,本文描述的針對矽層的實施方式可包含含有相對少量(例如,「雜質」水平)的非Si原子或物質(例如Ge、C或Sn)的矽層。應當理解,如本文所述的矽層可以未摻雜或可以摻雜有諸如硼、磷或砷的摻雜劑原子。
應了解,在特定實施方式中,奈米線或奈米帶或犧牲中介層可由矽鍺組成。如通篇所使用,矽鍺層可用於描述由矽和鍺的大部分構成的矽鍺材料,例如兩者的至少5%。在一些實施方式中,鍺的量大於矽的量。在特定實施方式中,矽鍺層包含大約60%的鍺和大約40%的矽(Si 40Ge 60)。在其他實施方式中,矽的量大於鍺的量。在特定實施方式中,矽鍺層包含大約30%的鍺和大約70%的矽(Si 70Ge 30)。應了解,實際上,100%純矽鍺(通常稱為SiGe)可能難以形成,因此可能包含極小百分比的碳或錫。此類雜質可能在SiGe沉積過程中作為不可避免的雜質或成分包含在內,或者可能在沉積後處理過程中擴散時「污染」SiGe。如此,本文描述的針對矽鍺層的實施方式可包含含有相對少量(例如,「雜質」水平)的非Ge和非Si原子或物質(例如碳或錫)的矽鍺層。應當理解,如本文所述的矽鍺層可以未摻雜或可以摻雜有諸如硼、磷或砷的摻雜劑原子。
應當理解,本文描述的實施方式還可以包含其他實施方式,例如具有各種寬度、厚度及/或包含但不限於Si和SiGe的材料的奈米線及/或奈米帶。例如,可以使用III-V族材料。
下面描述的是各種裝置和處理方案,例如奈米線或奈米帶處理方案,它們可以用於製造可以與跨接閘極方法或結構整合的裝置,例如結合圖2A至2C和3所描述的。應當理解,示例性實施方式不一定需要所描述的所有特徵,或者可以包含比所描述的更多的特徵。例如,可以經由取代閘極溝槽來執行奈米線釋放製程。此類釋放製程的示例如下所述。此外,在另一個方面,後端(BE)互連縮小會由於圖案化的複雜性而導致較低的性能和較高的製造成本。可以實施本文描述的實施方式以實現奈米線電晶體的前側和背側互連整合。本文所述的實施方式可以提供一種實現相對較寬的互連節距的方法。結果可能是提高產品性能和降低圖案化成本。可以施行實施方式以實現具有低功率和高性能的按比例縮小的奈米線或奈米帶電晶體的穩健功能。
本文所述的一個或多個實施方式是使用部分源極或汲極(SD)和不對稱溝槽接點(TCN)深度的奈米線或奈米帶電晶體的定向雙磊晶(EPI)連接。在一個實施方式中,藉由形成奈米線/奈米帶電晶體的源極-汲極開口來製造積體電路結構,其中奈米線/奈米帶電晶體部分地填充有SD磊晶。開口的其餘部分填充有導電材料。在源極側或汲極側之一上形成深溝槽可實現與背側互連級的直接接觸。
作為用於製造閘極全環繞積體電路結構的閘極全環繞裝置的一個製程流程的示例,圖4A至4J繪示用於製造閘極全環繞積體電路結構的方法中的各種操作的橫截面視圖,根據本揭露的實施方式。
參考圖4A,製造積體電路結構的方法包含形成起始堆疊,其包含在鰭402(諸如矽鰭)之上的交替的犧牲層404和奈米線406。奈米線406可以被稱為奈米線的垂直配置。如圖所示,可以在交替的犧牲層404和奈米線406之上形成保護帽408。鬆弛緩衝層452和缺陷修改層450可以形成在交替的犧牲層404和奈米線406下面,也如圖所示。
參考圖4B,閘極堆疊410形成在水平奈米線的垂直配置406上方。然後藉由移除犧牲層404的部分來釋放水平奈米線的垂直配置406的部分以提供凹陷的犧牲層404'和腔體412,如圖4C中所描繪的。
應當理解,無需首先執行下文所述的深蝕刻和不對稱接點處理,即可製造圖4C的結構至完成。在任何一種情況下(例如,使用或不使用不對稱接點處理),在一個實施方式中,製造製程涉及使用提供具有磊晶塊部的閘極全環繞積體電路結構的製程方案,其可以是垂直分散的源極或汲極結構。
參考圖4D,在閘極結構(閘極堆疊410)的側壁處形成上閘極間隔物414。腔體間隔物416形成在上閘極間隔物414下面的腔體412中。然後可選地執行深溝槽接點蝕刻以形成溝槽418並形成凹陷的奈米線406'。如圖所示,還可以存在圖案化的鬆弛緩衝層452'和圖案化的缺陷修改層450'。
如圖4E所示,然後在溝槽418中形成犧牲材料420。在其他製程方案中,可以使用隔離的溝槽底部或矽溝槽底部。
參考圖4F,第一磊晶源極或汲極結構(例如,左手特徵422)形成在水平奈米線406'的垂直配置的第一端部處。第二磊晶源極或汲極結構(例如,右手特徵422)形成在該水平奈米線406'的垂直配置的第二端部處。在一個實施方式中,如圖所示,磊晶源極或汲極結構(特徵422)是垂直分散的源極或汲極結構並且可以被稱為磊晶塊部。
如圖4G所示,層間介電質(ILD)材料424然後形成在閘極電極(閘極堆疊410)的側面並鄰近源極或汲極結構422。參考圖4H,使用取代閘極製程來形成永久閘極介電質428和永久閘極電極426。然後移除ILD材料424,如圖4I中所示。然後犧牲材料420從源極汲極位置之一(例如,右手側)移除以形成溝槽432,但不從源極汲極位置中的另一個移除以形成溝槽430。
參考圖4J,第一導電接點結構434被形成為耦接到第一磊晶源極或汲極結構(例如,左手特徵422)。第二導電接點結構436被形成為耦接到第二磊晶源極或汲極結構(例如,右手特徵422)。第二導電接點結構436沿著鰭402形成得比第一導電接點結構434更深。在一個實施方式中,儘管圖4J中未描繪,該方法還包含在鰭402的底部形成第二導電接點結構436的暴露表面。導電接點可以包含接點電阻降低層和主接點電極層,其中示例可以包含Ti、Ni、Co(針對前者,而W、Ru、Co針對後者)。
在一個實施方式中,第二導電接點結構436沿著鰭402比第一導電接點結構434更深,如圖所示。在一個這樣的實施方式中,第一導電接點結構434不沿著鰭402,如圖所示。在另一個未描繪的此類實施方式中,第一導電接點結構434部分地沿著鰭402。
在一個實施方式中,第二導電接點結構436沿著整個鰭402。在一實施方式中,雖然未描繪,但在藉由背側基板移除製程暴露鰭402的底部的情況下,第二導電接點結構436在鰭402的底部具有暴露表面。
在一個實施方式中,圖4J的結構或圖4A至4J的相關結構與例如結合圖2A至2C和3描述的跨接閘極方法或結構一起形成(例如,整合)。
在另一方面,為了能夠接取一對不對稱源極和汲極接點結構的導電接點結構,可以使用前側結構製造方法的背側顯露來製造本文描述的積體電路結構。在一些示例性實施方式中,顯露電晶體或其他裝置結構的背側需要晶圓級背側處理。與傳統的TSV型技術相比,可以在裝置胞的密度下甚至在裝置的子區內執行如本文所述的電晶體背側的顯露。此外,可以執行電晶體的背側的這種顯露以基本上移除在前側裝置處理期間其上設置有裝置層的所有施體基板。因此,隨著電晶體的背側的顯露可能只有幾十或幾百奈米,裝置胞中半導體的厚度可能只有幾十或幾百奈米,因此不需要微米深的TSV。
本文所述的顯露技術可以實現從「自下而上」裝置製造到「中心向外」製造的典範移位,其中「中心」是在前側製造中使用的任何層,從背側顯露,然後再次使用在背側製造。當主要依賴於前側處理時,對裝置結構的前側和顯露的背側進行處理可以解決與製造3D IC相關聯的許多挑戰。
電晶體方法的背側的顯露可以用於例如移除施體-主基板組合件的載體層和中介層的至少一部分。製程流程從輸入施體-主基板組合件開始。施體-主基板中的載體層的厚度被拋光(例如,CMP)及/或用濕或乾(例如,電漿)蝕刻製程所蝕刻。可以採用已知適合於載體層的成分的任何研磨、拋光及/或濕/乾蝕刻製程。例如,在載體層是IV族半導體(例如,矽)的情況下,可以使用已知適合於使半導體變薄的CMP漿料。同樣,也可以採用已知適合於使IV族半導體變薄的任何濕蝕刻劑或電漿蝕刻製程。
在一些實施方式中,在上述操作之前沿著基本平行於中介層的斷裂面劈開載體層。劈開或斷裂製程可用於移除大部分載體層作為塊狀物質,從而減少移除載體層所需的拋光或蝕刻時間。例如,在載體層的厚度為400至900 μm的情況下,可以藉由實施已知促進晶圓級斷裂的任何整面植入來劈掉100至700 μm。在一些示例性實施方式中,將輕元素(例如,H、He或Li)植入載體層內期望斷裂面的均勻目標深度。在這樣的劈開製程之後,可以拋光或蝕刻殘留在施體-主基板組合件中的載體層的厚度以完成移除。或者,在載體層未破裂的情況下,可以採用研磨、拋光及/或蝕刻操作來移除更大厚度的載體層。
接下來,檢測中介層的暴露。使用檢測來識別當施體基板的背側表面已經前進到接近裝置層時的點。可以實施已知適合於檢測用於載體層和中介層的材料之間的轉變的任何端點檢測技術。在一些實施方式中,一個或多個端點標準是基於檢測在拋光或蝕刻執行期間施體基板的背側表面的光吸收或發射的變化。在一些其他實施方式中,端點標準與施體基板背側表面的拋光或蝕刻期間副產物的光吸收或發射的變化相關聯。例如,與載體層蝕刻副產物相關聯的吸光度或發射波長可以根據載體層和中介層的不同成分而變化。在其他實施方式中,端點標準與拋光或蝕刻施體基板的背側表面的副產物中物質的質量變化相關聯。例如,可以經由四極質量分析器對處理的副產品進行取樣,並且物質質量的變化可以與載體層和中介層的不同組成相關聯。在另一個示例性實施方式中,端點標準與施體基板的背側表面和與施體基板的背側表面接觸的拋光表面之間的摩擦變化相關聯。
中介層的檢測可以被增強,其中移除製程相對於中介層對於載體層是選擇性的,因為載體層和中介層之間的蝕刻速率增量可以減輕載體移除製程中的不均勻性。如果研磨、拋光及/或蝕刻操作以足夠低於移除載體層的速率移除中介層的速率,甚至可以跳過檢測。如果不採用端點標準,則如果中介層的厚度足以實現蝕刻的選擇性,則預定固定持續時間的研磨、拋光及/或蝕刻操作可以在中介層材料上停止。在一些示例中,載體蝕刻速率:中介層蝕刻速率為3:1-10:1,或更多。
在暴露中介層時,可以移除至少一部分中介層。例如,可以移除中介層的一個或多個組件層。例如,可以藉由拋光均勻地移除中介層的厚度。或者,可以用遮罩或整面蝕刻製程移除一定厚度的中介層。該製程可以採用與使載體變薄所採用的拋光或蝕刻製程相同的拋光或蝕刻製程,或者可以是具有不同製程參數的不同製程。例如,在中介層為載體移除製程提供蝕刻停止的情況下,後一種操作可以採用不同的拋光或蝕刻製程,其有利於移除中介層而不是移除裝置層。在要移除小於幾百奈米的中介層厚度的情況下,移除製程可能相當慢,是針對整個晶圓的均勻性進行最佳化,且比用於移除載體層所採用的方法更精確地控制。採用的CMP製程可以例如採用在裝置層周圍的半導體(例如矽)和介電質材料(例如SiO)之間提供非常高的選擇性(例如100:1-300:1或更高)的漿料,漿料被嵌入在中介層內,例如,例如作為相鄰裝置區之間的電隔離。
對於其中經由完全移除中介層而顯露裝置層的實施方式,可以在裝置層的曝光的背側或其中的特定裝置區上開始背側處理。在一些實施方式中,背側裝置層處理包含進一步拋光或濕/乾蝕刻穿過設置在中介層和先前在裝置層中所製造的裝置區(例如源極或汲極區)之間的裝置層的厚度。
在用濕及/或電漿蝕刻來凹陷載體層、中介層或裝置層背側的一些實施方式中,這種蝕刻可以是圖案化的蝕刻或材料選擇性蝕刻,其將顯著的非平坦性或形貌賦予裝置層背側表面。如下文進一步描述,圖案化可在裝置胞內(即,「胞內」圖案化)或可跨越裝置胞(即,「胞間」圖案化)。在一些圖案化蝕刻實施方式中,中介層的至少部分厚度被用作背側裝置層圖案化的硬遮罩。因此,遮蔽蝕刻製程可以在相應遮蔽的裝置層蝕刻之前進行。
上述處理方案可產生包含IC裝置的施體-主基板組合件,其具有中介層的背側、裝置層的背側及/或裝置層內的一個或多個半導體區的背側,及/或顯露的前側金屬化。然後可以在下游處理期間對這些顯露區中的任何一個進行附加的背側處理。
應當理解,由以上範例性處理方案所產生的結構可能以相同或類似形式被使用於後續處理操作以完成裝置製造,諸如PMOS及/或NMOS裝置製造。作為完成裝置的示例,圖5繪示了非平坦積體電路結構沿閘極線截取的橫截面視圖,根據本揭露的實施方式。
參考圖5,半導體結構或裝置500包含在溝槽隔離區506內的非平坦主動區(例如,包含突出鰭部分504和子鰭區505的鰭結構)。在一個實施方式中,非平坦主動區被分成子鰭區505之上的奈米線(例如奈米線504A和504B)而不是實心鰭,如虛線所示。在任一情況下,為了便於描述非平坦積體電路結構500,非平坦主動區504在下文中被稱為突出鰭部分。在一個實施方式中,子鰭區505還包含鬆弛緩衝層542和缺陷修改層540,如圖所示。
閘極線508設置在非平坦主動區的突出部分504(包含,如果適用,周圍的奈米線504A和504B)上方,以及溝槽隔離區506的一部分上方。如圖所示,閘極線508包含閘極電極550和閘極介電質層552。在一個實施方式中,閘極線508還可以包含介電質帽層554。沿著上覆金屬互連體560,從這個角度還可以看到閘極接點514和上覆閘極接點通孔516,所有這些都設置在層間介電質堆疊或層570中。同樣從圖5的角度來看,在一個實施方式中,閘極接點514設置在溝槽隔離區506上方,但不在非平坦主動區上方。在另一實施方式中,閘極接點514在非平面主動區上方。
在一個實施方式中,半導體結構或裝置500是非平坦裝置,例如但不限於fin-FET裝置、三閘極裝置、奈米帶裝置或奈米線裝置。在此實施方式中,對應的半導體通道區由三維本體所構成或形成於三維本體中。在一此實施方式中,閘極線508的閘極電極堆疊至少圍繞三維本體的頂表面及一對側壁。
同樣如圖5所示,在一個實施方式中,界面580存在於突出鰭部分504和子鰭區505之間。界面580可以是摻雜的子鰭區505和輕摻雜或未摻雜的上鰭部分504之間的過渡區。在一個這樣的實施方式中,每個鰭大約10奈米寬或更小,並且從子鰭位置處的相鄰固態摻雜層選擇地供應子鰭摻雜物。在特定的此類實施方式中,每個鰭的寬度小於10奈米。
儘管未在圖5中描繪,但應了解,突出鰭部分504的源極或汲極區或與突出鰭部分504相鄰的源極或汲極區位於閘極線508的兩側上,即,進入和離開頁面。在一個實施方式中,在源極或汲極位置中的突出鰭部分504的材料被移除並用另一種半導體材料取代,例如藉由磊晶沉積以形成磊晶源極或汲極結構。源極或汲極區可以延伸到低於溝槽隔離區506的介電質層的高度,即延伸到子鰭區505中。根據本揭露的一個實施方式,更重摻雜的子鰭區,即,界面580之下的鰭的摻雜部分,抑制了經由塊狀半導體鰭的該部分的源極到汲極的洩漏。在一個實施方式中,源極和汲極區具有關聯的不對稱源極和汲極接點結構,如上文結合圖4J所述。
再次參考圖5,在一個實施方式中,鰭504/505(以及可能的奈米線504A和504B)由結晶矽鍺層組成,其可以摻雜帶電載子,例如但不限於磷、砷、硼、鎵或其組合。
在一個實施方式中,貫穿描述的溝槽隔離區506和溝槽隔離區(溝槽隔離結構或溝槽隔離層)可由適合最終電隔離的材料所構成,或對永久閘極結構的部分與下伏的塊狀矽基板的隔離有貢獻,或隔離形成於下伏的塊狀基板內的主動區,例如隔離鰭主動區。例如,在一個實施方式中,溝槽隔離區506由介電質材料所構成,諸如但不限於,二氧化矽、氧氮化矽、氮化矽或碳摻雜的氮化矽。
閘極線508可由閘極電極堆疊所構成,包含閘極介電質層552及閘極電極層550。於實施方式中,閘極電極堆疊的閘極電極由金屬閘極所構成,且閘極介電質層由高k值材料所構成。例如,於一實施方式中,閘極介電質層552由,諸如但不限於,氧化鉿、氧氮化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、鉛鈧鉭氧化物、鈮酸鉛鋅或其組合之材料所構成。再者,閘極介電質層552的部分可包含從基板鰭504的頂部數層形成的原生氧化物的層。在實施方式中,閘極介電質層552由頂部高k值部分及由半導體材料的氧化物所構成的下部分所構成。在一個實施方式中,閘極介電質層552由氧化鉿的頂部分及二氧化矽或氧氮化矽的底部部分所構成。於某些實施方案中,閘極介電質的部分為「U」型結構,其包含實質上平行於基板的表面的底部部分及實質垂直於基板的頂表面的二側壁部分。
在一實施方式中,該閘極電極層550由金屬層所構成,諸如但不限於金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳或導電金屬氧化物。在一個具體實施方式中,閘極電極層550由形成於金屬功函數設定層之上的非功函數設定填充材料所構成。閘極電極層550可由P型功函數金屬或N型功函數金屬組成,依其電晶體要作為PMOS或NMOS電晶體而定。在一些實施方式中,閘極電極層550可由二或更多金屬層的堆疊組成,其中一或更多個金屬層為功函數金屬層且至少一金屬層為導電填充層。對於PMOS電晶體,可用於閘極電極的金屬,包含但不限於,釕、鈀、鉑、鈷、鎳、鎢和導電金屬氧化物,例如,氧化釕。P型金屬層會致能PMOS閘極電極形成有約4.9 eV及約5.2 eV之間的功函數。對於NMOS電晶體,可用於作為閘極電極的金屬,包含但不限於,鉿、鋯、鈦、鉭、鋁、這些金屬的合金、以及這些金屬的碳化物,例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭及碳化鋁。N型金屬層會致能NMOS閘極電極形成有約3.9 eV及約4.2 eV之間的功函數。在某些實施方案中,閘極電極可由「U」型結構所組成,其包含實質上平行於基板的表面的底部部分及實質垂直於基板的頂表面的二側壁部分。在另一實施方案中,形成閘極電極的金屬層的至少一者可簡單的為平坦層,其實質上平行於基板的頂表面且不包含實質上垂直於基板的頂表面的側壁部分。於本揭露的進一步實施方案中,閘極電極可由U形結構及平坦、非U形結構的組合組成。例如,閘極電極可由形成於一或更多個平坦、非U形層頂上的一或更多個U形金屬層組成。
與閘極電極堆疊相關聯的間隔物可由適用於最終電隔離的材料所構成,或對永久閘極結構與相鄰的導電接點(例如,自對準接點)的隔離有貢獻。例如,於一實施方式,間隔物由介電質材料所構成,諸如但不限於,二氧化矽、氧氮化矽、氮化矽或碳摻雜的氮化矽。
閘極接點514和上覆閘極接點通孔516可以由導電材料所組成。在一個實施方式中,一個或多個接點或通孔由金屬物質所組成。金屬物質可以是純金屬,例如鎢、鎳或鈷,或者可以是合金,例如金屬-金屬合金或金屬-半導體合金(例如矽化物材料)。
在一個實施方式中(儘管未示出),形成了與現有閘極圖案508基本上完全對準的接點圖案,同時消除有非常緊密的對準預算的微影步驟的使用。在一個實施方式中,接點圖案是垂直對稱的接點圖案,或諸如結合圖4J所描述的不對稱接點圖案。在其他實施方式中,所有接點都是前側連接的並且不是不對稱的。在一個這樣的實施方式中,自對準方法使得能夠使用本質上高度選擇性的濕蝕刻(例如,相對於常規施行的乾或電漿蝕刻)來產生接點開口。於實施方式中,接點圖案使用存在的閘極圖案與接點插塞微影操作的組合形成。在一此種實施方式中,該方法致能消除對其他方面關鍵的微影操作以產生接點圖案之需要,如常規方法中所使用。在實施方式中,溝槽接點柵格不是分開地圖案化,而是形成於多晶(閘極)線之間。例如,在一此實施方式中,溝槽接點柵格在閘極柵圖案化之後形成,但在閘極柵切割之前。
在一個實施方式中,提供結構500涉及藉由取代閘極製程來製造閘極堆疊結構508。在此方案中,虛置閘極材料,例如多晶矽或氮化矽柱材料,可被移除且以永久閘極電極材料取代。在一此實施方式中,永久閘極介電質層亦於此製程形成,而不是於更早的處理進行。在實施方式中,虛置閘極由乾蝕刻或濕蝕刻製程移除。在一實施方式中,虛置閘極由多晶體矽或非晶矽所構成且以乾蝕刻製程移除,包含使用SF 6。在另一實施方式中,虛置閘極由多晶體矽或非晶矽所構成,且以濕蝕刻製程移除,包含含水的NH 4OH或氫氧化四甲銨的使用。在一實施方式中,虛置閘極由氮化矽所構成且以包含含水的磷酸的濕蝕刻移除。
再次參考圖5,半導體結構或裝置500的配置將閘極接點置於隔離區上方。此種配置可被視為布局空間的無效率使用。然而,在另一實施方式中,半導體裝置具有接點結構,其接觸在主動區上方所形成的閘極電極的部分,例如,在鰭505上方,並且與溝槽接點通孔在同一層中。
在一個實施方式中,圖5的結構與諸如結合圖2A至2C和3所描述的跨接閘極方法或結構一起形成(例如,整合)。
可以理解的是,不是於上所述的製程的所有態樣都需要被實現才落入本揭露的實施方式的精神及範疇中。又,此處所述的製程可用於製造一或複數個半導體裝置。半導體裝置可為電晶體或類似的裝置。例如,在實施方式中,半導體裝置為用於邏輯或記憶體的金屬氧化物半導體(MOS)電晶體,或為雙極電晶體。此外,在一個實施方式中,半導體裝置具有三維結構,例如奈米線裝置、奈米帶裝置、三閘極裝置、獨立接取的雙閘極裝置或FIN-FET。一或更多個實施方式可對於製造於次10奈米(10 nm)的技術節點的半導體裝置特別地有用。
在實施方式中,如於整份本說明書中所使用的,層間介電質(ILD)材料由介電質或絕緣材料的層所構成或包含介電質或絕緣材料的層。適合的介電質材料的例子,包含但不限於,矽的氧化物(例如,二氧化矽(SiO 2))、摻雜的矽的氧化物、氟化的矽的氧化物、碳摻雜的矽的氧化物、所屬技術領域中可知的多樣的低介電常數(low-k)介電質材料、及其組合。層間介電質材料可由習用的技術,例如,化學氣相沉積(CVD)、物理氣相沉積(PVD)、或其它沉積方法來形成。
在實施方式中,如亦用於整份本說明書的,金屬線或互連體線材料(及通孔材料)由一或更多個金屬或其它導電結構所構成。一般實施例為銅線及結構的使用,其可有或沒有包含障壁層於銅及圍繞的ILD材料之間。如於此所用的,用語「金屬」包含合金、堆疊及複數金屬的其它組合。例如,金屬互連體線可包含障壁層(例如,包含Ta、TaN、Ti或TiN的一或更多者的層)、不同金屬或合金的堆疊等。因此,互連體線可為單材料層、或可從許多層形成,包含導電襯墊層及填充層。任意適合的沉積製程,例如電鍍、化學氣相沉積、或物理氣相沉積,可用以形成互連體線。在實施方式中,互連體線由導電材料所構成,例如但不限於,Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Au或其合金。互連體線亦有時於所屬技術領域稱為跡線、導線、線、金屬或單純稱為互連體。
在實施方式中,如亦用於整份本說明書的,硬遮罩材料、封蓋層、或插塞由與層間介電質材料不同的介電質材料所構成。於一實施方式,不同硬遮罩、封蓋或插塞材料可用於不同區,以提供對於彼此及對於下伏的介電質及金屬層的不同的生長或蝕刻選擇性。在某些實施方式中,硬遮罩層、封蓋或插塞層包含矽的氮化物(例如,氮化矽)的層或矽的氧化物的層、或兩者、或其組合。其它適合的材料可包含基於碳的材料。取決於特定的實施方案,可以使用本領域中已知的其他硬遮罩、封蓋層或插塞層。硬遮罩、封蓋或插塞層可由CVD、PVD或由其它沉積方法形成。
在實施方式中,如亦用於整份本說明的,微影操作使用以下執行:193 nm浸潤式微影(i193)、EUV及/或EBDW微影,或類似。可使用正調或負調阻。在一個實施方式中,微影遮罩是由形貌遮蔽部分、抗反射塗佈(ARC)層以及光阻層所構成的三層遮罩。於此特定的實施方式,形貌遮蔽部分為碳硬遮罩(CHM)層且抗反射塗佈層為矽ARC層。
另一方面,一個或多個實施方式涉及由自對準閘極端帽(SAGE)結構所分隔的相鄰半導體結構或裝置。特定實施方式可涉及將多寬度(多Wsi)奈米線和奈米帶整合在SAGE架構中並由SAGE壁分隔。在一個實施方式中,奈米線/奈米帶與前端製程流程的SAGE架構部分中的多Wsi整合。這種製程流程可能涉及不同Wsi的奈米線和奈米帶的整合,以提供具有低功率和高性能的下一代電晶體的穩健功能。可以嵌入相關聯的磊晶源極或汲極區(例如,移除部分奈米線然後執行源極或汲極(S/D)生長)。
為了提供進一步的背景,自對準閘極端帽(SAGE)架構的優勢可能包含實現更高的布局密度,特別是擴散到擴散間距的縮小。為了提供說明性比較,圖6繪示穿過用於非端帽架構(左手側(a))與自對準閘極端帽(SAGE)架構(右手側(b))的奈米線和鰭所截取的橫截面視圖,根據本揭露的實施方式。
參考圖6的左手側(a),積體電路結構600包含基板602,其具有從其中突出量606的鰭604,高於橫向圍繞鰭604的下部分的隔離結構608。如圖所示,鰭的上部分可以包含鬆弛緩衝層622和缺陷修改層620。對應的奈米線605在鰭604上方。可以在積體電路結構600上方形成閘極結構以製造裝置。然而,可以藉由增加鰭604/奈米線605對之間的間距來適應這種閘極結構中的斷裂。
相比之下,參考圖6的右手側(b),積體電路結構650包含基板652,其具有從其中突出量656的鰭654,高於橫向圍繞鰭654的下部分的隔離結構658。如圖所示,鰭的上部分可以包含鬆弛緩衝層672和缺陷修改層670。對應的奈米線655在鰭654上方。隔離SAGE壁660(其上可以包含硬遮罩,如圖所示)被包含在隔離結構652內以及在相鄰的鰭654/奈米線655對之間。隔離SAGE壁660和最近的鰭654/奈米線655對之間的距離限定了閘極端帽間距662。閘極結構可以形成在積體電路結構600上方,在絕緣SAGE壁之間以製造裝置。這種閘極結構的斷裂是由隔離的SAGE壁所造成的。由於隔離SAGE壁660是自對準的,因此可以最小化傳統方法的限制,以實現更積極的擴散到擴散間距。此外,由於閘極結構包含在所有位置處的斷裂,所以單獨的閘極結構部分可以是藉由在隔離SAGE壁660上方所形成的局部互連體所連接的層。在一個實施方式中,如圖所示,SAGE壁660各自包含下介電質部分和下介電質部分上的介電質帽。根據本揭露的實施方式,與圖6相關聯的結構的製造製程涉及使用提供具有磊晶源極或汲極結構的閘極全環繞積體電路結構的製程方案。
在實施方式中,圖6的部分(b)的結構與諸如結合圖2A至2C和3所描述的跨接閘極方法或結構一起形成(例如,整合)。
自對準閘極端帽(SAGE)處理方案涉及形成自對準鰭的閘極/溝槽接點端帽,而不需要額外的長度來解決遮罩失配。因此,可以施行實施方式以使得能夠縮小電晶體布局面積。本文描述的實施方式可以涉及閘極端帽隔離結構的製造,其也可以被稱為閘極壁、隔離閘極壁或自對準閘極端帽(SAGE)壁。
在用於具有分隔相鄰裝置的SAGE壁的結構的示例性處理方案中,圖7繪示表示用於製造具有閘極全環繞裝置的自對準閘極端帽(SAGE)結構的方法中的各種操作的橫截面視圖,根據本揭露的實施方式。
參考圖7的部分(a),起始結構包含在基板702之上的奈米線圖案化堆疊704。光刻圖案化堆疊706形成在奈米線圖案化堆疊704之上。奈米線圖案化堆疊704包含交替的犧牲層710和奈米線層712,其可以在鬆弛緩衝層782和缺陷修改層780之上,如圖所示。保護遮罩714在奈米線圖案化堆疊704和微影圖案化堆疊706之間。在一個實施方式中,微影圖案化堆疊706是由形貌遮蔽部分720、抗反射塗佈(ARC)層722和光阻層724所構成的三層遮罩。於此特定的實施方式,形貌遮蔽部分720為碳硬遮罩(CHM)層且抗反射塗佈層722為矽ARC層。
參考圖7的部分(b),部分(a)的堆疊被微影圖案化然後蝕刻以提供包含圖案化基板702和溝槽730的蝕刻結構。
參考圖7的部分(c),部分(b)的結構具有形成在溝槽730中的隔離層740和SAGE材料742。然後將該結構平坦化以留下圖案化的形貌遮蔽層720'作為暴露的上層。
參考圖7的部分(d),隔離層740凹入圖案化基板702的上表面之下,例如,以限定突出鰭部分並提供SAGE壁742下面的溝槽隔離結構741。
參考圖7的部分(e),至少在通道區中移除犧牲層710以釋放奈米線712A和712B。在形成圖7的部分(e)的結構之後,可以在奈米線712B或712A周圍、基板702的突出鰭上方以及SAGE壁742之間形成閘極堆疊。在一個實施方式中,在形成閘極堆疊之前,移除保護遮罩714的剩餘部分。在另一個實施方式中,保護遮罩714的剩餘部分被保留為絕緣鰭帽作為處理方案的產物。
再次參考圖7的部分(e),應當理解,描繪了通道視圖,其中源極或汲極區位於頁面內和頁面外。在一個實施方式中,包含奈米線712B的通道區的寬度小於包含奈米線712A的通道區的寬度。因此,在一個實施方式中,積體電路結構包含多寬度(多Wsi)奈米線。儘管712B和712A的結構可以分別區分為奈米線和奈米帶,但是這兩種結構在本文中通常被稱為奈米線。還應當理解,通篇提及或描述鰭/奈米線對可以指包含鰭和一個或多個上覆奈米線的結構(例如,圖7中示出了兩個上覆奈米線)。根據本揭露的實施方式,與圖7相關聯的結構的製造製程涉及使用提供具有磊晶源極或汲極結構的閘極全環繞積體電路結構的製程方案。
在實施方式中,圖7的部分(e)的結構與諸如結合圖2A至2C和3所描述的跨接閘極方法或結構一起形成(例如,整合)。
在一個實施方式中,如全文所述,自對準閘極端帽(SAGE)隔離結構可以由一種或多種適於最終使永久閘極結構的一部分彼此電隔離或有助於彼此隔離的材料所構成。示例性的材料或材料組合包含諸如二氧化矽、氧氮化矽、氮化矽或碳摻雜的氮化矽的單一材料結構。其他示例性材料或材料組合包含具有下部分二氧化矽、氧氮化矽、氮化矽或碳摻雜的氮化矽和上部分較高介電質常數的材料(例如氧化鉿)的多層堆疊。
為了強調具有三個垂直排列的奈米線的示例性積體電路結構,圖8A示出了基於奈米線的積體電路結構的三維橫截面視圖,根據本揭露實施方式。圖8B示出了沿著a-a'軸截取的圖8A的基於奈米線的積體電路結構的橫截面源極或汲極視圖。圖8C繪示了圖8A的基於奈米線的積體電路結構沿b-b'軸所截取的橫截面通道視圖。
參照圖8A,積體電路結構800包含在基板802之上的一個或多個垂直堆疊的奈米線(804位置)。在實施方式中,如所描繪的,鬆弛緩衝層802C、缺陷修改層802B、和下基板部分802A被包含在基板802中,如所描繪的。為了說明的目的,為了強調奈米線部分,未示出在最底部的奈米線之下並且由基板802形成的選用鰭。本文的實施方式針對單線裝置和多線裝置。作為示例,出於說明性目的,示出了具有奈米線804A、804B和804C的三個基於奈米線的裝置。為了便於描述,將奈米線804A用作示例,其中描述集中在奈米線之一者上。應當理解,在描述一個奈米線的屬性的情況下,基於複數個奈米線的實施方式對於每個奈米線可以具有相同或實質上相同的屬性。
每個奈米線804包含奈米線中的通道區806。通道區806具有長度(L)。參考圖8C,通道區還具有垂直於長度(L)的周長(Pc)。參照圖8A和8C,閘極電極堆疊808圍繞每個通道區806的整個周長(Pc)。閘極電極堆疊808包含閘極電極以及在通道區806和閘極電極(未示出)之間的閘極介電質層。在實施方式中,通道區是分散的,因為其完全被閘極電極堆疊808包圍,而沒有任何中介材料,例如下伏的基板材料或上覆的通道製造材料。因此,在具有複數個奈米線804的實施方式中,奈米線的通道區806也相對於彼此是分散的。
參照圖8A和8B,積體電路結構800包含一對非分散的源極或汲極區810/812。該對非分散的源極區或汲極區810/812在複數垂直堆疊的奈米線804的通道區806的兩側。此外,該對非分散的源極或汲極區810/812鄰接複數垂直堆疊的奈米線804的通道區806。在一個這樣的未示出的實施方式中,成對的非分散源極或汲極區810/812直接垂直地鄰接於通道區806,因為在延伸超過通道區806的奈米線部分上和之間磊晶生長,其中奈米線端部在源極或汲極結構中顯示。在另一實施方式中,如圖8A所示,該對非分散的源極或汲極區810/812對通道區806間接垂直地鄰接,因為它們形成在奈米線的端部而不是在奈米線之間。
在實施方式中,如所描繪的,源極或汲極區810/812是非分散的,因為對於奈米線804的每個通道區806沒有單獨且分散的源極或汲極區。因此,在具有複數個奈米線804的實施方式中,奈米線的源極或汲極區810/812是全域的或統一的源極或汲極區,而非對於每個奈米線而言是分散的。也就是說,非分散源極或汲極區810/812是全域的,在某種意義上,單個統一特徵被用作複數個(在這種情況下為3個)奈米線804的源極或汲極區,更具體地說,針對一個以上的分散通道區806。在一實施方式中,從垂直於分散通道區806的長度的橫截面角度來看,該對非分散源極或汲極區810/812中的每一個都是近似矩形的,具有底部錐形部分和頂部頂點部分(top vertex portion),如圖8B所示。然而,在其他實施方式中,奈米線的源極或汲極區810/812是相對較大的但分散的非垂直合併的磊晶結構,例如結合圖4A至4J描述的塊部。
根據本揭露的實施方式,並且如圖8A和8B所示,積體電路結構800還包含一對接點814,每個接點814在一對非分散源極或汲極區810/812中的一者上。在一個這樣的實施方式中,在垂直方向上,每個接點814完全圍繞各自的非分散源極或汲極區810/812。在另一方面,非分散源極或汲極區810/812的整個周邊可能未接近以與接點814接觸,並且接點814因此僅部分地圍繞非分散源極或汲極區810/812,如在圖8B中描述。在未示出的對比實施方式中,沿a-a'軸截取的非分散源極或汲極區810/812的整個周邊被接點814圍繞。
再次參考圖8A,在實施方式中,積體電路結構800還包含一對間隔物816。如圖所示,該對間隔物816的外部可以與非分散源極或汲極區810/812的部分重疊,從而在該對間隔物816的下面提供該非分散源極或汲極區810/812的「嵌入式」部分。還如圖所示,非分散源極或汲極區810/812的嵌入式部分可未在該對間隔物816的整個的下面延伸。
基板802可以由適合於積體電路結構製造的材料所構成。在一實施方式中,基板802包含由材料的單晶所組成的下塊狀基板,該材料可以包含但不限於矽、鍺、矽鍺、鍺錫、矽鍺錫或III-V族化合物半導體材料。由可以包含但不限於二氧化矽、氮化矽或氧氮化矽的材料所構成的上絕緣體層在下塊狀基板上。因此,結構800可以由起始的絕緣體上半導體基板製成。替代地,結構800直接由塊狀基板形成,並且局部氧化用於代替上述上絕緣體層以形成電絕緣部分。在另一替代實施方式中,結構800直接由塊狀基板形成,並且使用摻雜以在其上形成電隔離的主動區,例如奈米線。在一個這樣的實施方式中,第一奈米線(即,鄰近基板)呈歐米伽-FET(omega-FET)型結構的形式。
在實施方式中,奈米線804的尺寸可以設置為線或帶,如下所述,並且可以具有平方角或圓角。在實施方式中,奈米線804由諸如但不限於矽、鍺、或其組合的材料所組成。在一個這樣的實施方式中,奈米線是單晶的。例如,對於矽奈米線804,單晶奈米線可以基於(100)全域取向,例如,在z方向上具有<100>平面。如下所述,也可以考慮其他取向。在實施方式中,從橫截面的角度來看,奈米線804的尺寸是奈米級的。例如,在特定實施方式中,奈米線804的最小尺寸小於大約20奈米。在實施方式中,奈米線804由應變材料所構成,特別是在通道區806中。
參照圖8C,在實施方式中,每個通道區806具有寬度(Wc)和高度(Hc),該寬度(Wc)與該高度(Hc)大致相同。即,在兩種情況下,通道區806均為正方形,或者如果是圓角的,則在橫截面輪廓中為圓形。在另一態樣,通道區的寬度和高度不必相同,例如貫穿全文所描述的奈米帶的情況。
在一個實施方式中,如全文所述,積體電路結構包含非平面裝置,例如但不限於具有對應的一個或多個上覆奈米線結構的finFET或三閘極裝置。在此實施方式中,對應的半導體通道區由三維本體所構成或形成於三維本體中,一或更多個分散的奈米線通道部分上覆在三維本體上。在一個這樣的實施方式中,閘極結構至少圍繞三維本體的頂表面和一對側壁,並且還圍繞一或更多個分散的奈米線通道部分中的每一個。
在一個實施方式中,圖8A至8C的結構與諸如結合圖2A至2C和3所描述的跨接閘極方法或結構一起形成(例如,整合)。
在一個實施方式中,如通篇所描述的,下伏基板可由半導體材料所構成,其可耐受製造製程且其中電荷可遷移。在一個實施方式中,基板為塊狀基板,其由以下所構成:結晶矽、矽/鍺或鍺層,其以帶電載子摻雜,例如但不限於磷、砷、硼、鎵或其組合,以形成主動區。於一實施方式中,於塊狀基板中的矽原子的濃度大於97%。於另一實施方式中,塊狀基板由生長於不同晶體基板頂上的磊晶層所構成,例如,生長於硼摻雜的塊狀矽單結晶基板上的矽磊晶層。塊狀基板可替代地由III-V族材料所構成。於實施方式中,塊狀基板由III-V族材料所構成,例如但不限於,氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵或其組合。於一實施方式中,塊狀基板由III-V族材料所構成且電荷載子摻雜物雜質原子為,例如但不限於,碳、矽、鍺、氧、硫、硒或碲。
此處所揭露的實施方式可用於製造廣泛變化的不同類型積體電路及/或微電子裝置。此積體電路的實施例包含,但不限於,處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器及類似。在其它實施方式中,半導體記憶體可被製造。此外,積體電路或其它微電子裝置可用於廣泛多樣的所屬技術領域可知的電子裝置。例如,在電腦系統(例如,桌上電腦、膝上電腦、伺服器)中、行動電話、個人電子器件等。積體電路可耦接到匯流排及系統中的其它組件。例如,處理器可由一或更多個匯流排耦接到記憶體、晶片組等。處理器、記憶體及晶片組的各者,可能使用於此處所揭露的方式製造。
圖9繪示計算裝置900,根據本揭露的實施方式之一實施方案。計算裝置900容納板材902。板材902可以包括多個組件,包含但不限於處理器904和至少一個通訊晶片906。處理器904實體地和電耦接至板材902。在一些實施方案中,至少一個通訊晶片906也實體地和電耦接至板材902。在進一步的實施方案中,通訊晶片906是處理器904的一部分。
取決於其應用而定,計算裝置900可包含其它的組件,這些組件可以或可以不與板材902實體及電耦接。這些其它組件,包含但不限於,揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音訊編解碼器、影片編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速度計、陀螺儀、喇叭、相機及大量儲存裝置(例如硬碟、光碟(CD)、數位多功光碟(DVD)等)。
通訊晶片906致能用於從且至計算裝置900的資料的傳輸的無線通訊。用語「無線」及其衍伸詞可用於描述電路、裝置、系統、方法、技術、通訊頻道等,其可經由使用經由非固體介質的調變電磁輻射來傳遞資料。該用語並不意味著相關聯的裝置不含有任何導線,儘管在一些實施方式中它們可能沒有。通訊晶片906可施行任意一些的無線標準或協定,包含但不限於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙(Bluetooth)、其衍生物,以及任何指定為3G、4G、5G及更新者的無線協定。計算裝置900可包含複數個通訊晶片906。舉例而言,第一通訊晶片906可專用於例如Wi-Fi及藍牙等較短程無線通訊,而第二通訊晶片906可專用於例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、等等較長程無線通訊。
計算裝置900的處理器904包含封裝於處理器904中的積體電路晶粒。處理器904的積體電路晶粒可包含一或更多個結構,例如根據本揭露的實施方式的實施方案建構的具有跨接閘極的積體電路結構。用語「處理器」可意指處理來自暫存器及/或記憶體的電子資料以將該電子資料轉換成可儲存在暫存器及/或記憶體中的其它電子資料之任何裝置或裝置的一部分。
通訊晶片906亦包含積體電路晶粒,封裝在通訊晶片906中。通訊晶片906的積體電路晶粒可包含一或更多個結構,例如根據本揭露的實施方式的實施方案建構的具有跨接閘極的積體電路結構。
在進一步的實施方案中,裝載於計算裝置900內的另一組件可以含有積體電路晶粒,該積體電路晶粒包含一個或多個結構,例如根據本揭露實施方式的實施方案構建的具有跨接閘極的積體電路結構。
在各式各樣的實施方案中,計算裝置900可以是膝上型電腦、隨身型易網機、筆記型電腦、超薄筆記型電腦、智慧型手機、平板電腦、個人數位助理(PDA)、超薄行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或是數位攝影機。於更多實施方案中,計算裝置900可為處理資料的任意其它的電子裝置。
圖10繪示中介物1000,其包含本揭露的一或更多實施方式。中介物1000係用於橋接第一基板1002至第二基板1004的中介基板。第一基板1002可為,例如,積體電路晶粒。第二基板1004可為,例如,記憶體模組、電腦主機板或其它積體電路晶粒。一般而言,中介物1000的目的是擴展連接至更廣的間距或重路由連接至不同的連接。例如,中介物1000可耦接積體電路晶粒至球柵格陣列(BGA)1006,其可接續耦接至第二基板1004。於某些實施方式中,第一及第二基板1002/1004係附接至中介物1000的對置側。在其它實施方式中,第一及第二基板1002/1004附接至中介物1000的相同側。且在更多實施方式中,三或更多基板由中介物1000的方式互連。
中介物1000可以由環氧樹脂、玻璃纖維增強環氧樹脂、陶瓷材料、或是例如聚醯亞胺等聚合物材料所形成。在進一步的實施方式中,中介物1000可由替代的剛性或可撓性材料形成,其可包含與上述用於半導體基板的相同材料,例如矽、鍺及其它III-V族及IV族材料。
中介物1000可包含金屬互連體1008及通孔1010,包含但不限於矽穿孔(TSV)1012。中介物1000可更包含嵌入裝置1014,包含被動及主動裝置的兩者。此裝置包含,但不限於,電容器、解耦接電容器、電阻器、電感器、保險絲、二極體、變壓器、感測器及靜電放電(ESD)裝置。更複雜的裝置,例如射頻(RF)裝置、功率放大器、電源管理裝置、天線、陣列、感測器及微機電系統(MEMS)裝置亦可形成於中介物1000上。根據本揭露的實施方式,此處所揭示的設備或製程可用於中介物1000的製造或包含於中介物1000中的組件的製造。
因此,本揭露的實施方式包含用於先進積體電路結構的跨接閘極。
本揭露的實施方式的已描述實施方案的上述說明,包含發明摘要中所述的說明,並非是竭盡性的或是要將本揭露限定於揭示的精準形式。雖然於此基於說明之目的而舉例說明本揭露的特定實施方案,但是,如同習於此技藝者將瞭解般,各式各樣的等效修改可能在本揭露的範圍之內。
考慮上述詳細說明,對該揭露作出這些修改。在下述申請專利範圍中使用的用語不應被解釋為將本揭露侷限於說明書及申請專利範圍中揭露的特定實施方案。相反地,本揭露的範圍是完全由根據已建立的申請專利範圍的解釋準則所建構之後附的申請專利範圍決定。
示例實施方式1:積體電路結構包含第一水平奈米線段的垂直堆疊。第二水平奈米線段的垂直堆疊與該第一水平奈米線段的垂直堆疊間隔開。導電結構橫向地在該第一水平奈米線段的垂直堆疊和該第二水平奈米線段的垂直堆疊之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸。第一源極或汲極結構在與該導電結構相反的一側處耦接到該第一水平奈米線段的垂直堆疊。第二源極或汲極結構在與該導電結構相反的一側處耦接到該第二水平奈米線段的垂直堆疊。
示例實施方式2:示例實施方式1之積體電路結構,其中,該導電結構垂直在子鰭結構上方。
示例實施方式3:示例實施方式1或2之積體電路結構,其中,該導電結構具有的最上表面在該第一和第二源極或汲極結構的最上表面之上。
示例實施方式4:示例實施方式1、2或3之積體電路結構,其中,該第一和第二源極或汲極結構具有的半導體材料成分不同於該第一和第二水平奈米線段的垂直堆疊的半導體材料成分。
示例實施方式5:示例實施方式1、2、3或4之積體電路結構,其中,第一和第二源極或汲極結構包含矽和鍺,並且第一和第二水平奈米線段的垂直堆疊包含矽。
示例6實施方式:一種製造積體電路結構的方法,其包含形成水平奈米線的垂直堆疊,切割該水平奈米線的垂直堆疊以形成第一水平奈米線段的垂直堆疊、以及與該第一水平奈米線段的垂直堆疊間隔開的第二水平奈米線段的垂直堆疊,形成導電結構,該導電結構橫向地在該第一水平奈米線段的垂直堆疊和該第二水平奈米線段的垂直堆疊之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸,形成第一源極或汲極結構,該第一源極或汲極結構在與該導電結構相反的一側處耦接到該第一水平奈米線段的垂直堆疊,以及形成第二源極或汲極結構,該第二源極或汲極結構在與該導電結構相反的一側處耦接到該第二水平奈米線段的垂直堆疊。
示例實施方式7:示例實施方式6之方法,其中,該導電結構垂直在子鰭結構上方。
示例實施方式8:示例實施方式6或7之方法,其中,該導電結構具有的最上表面在該第一和第二源極或汲極結構的最上表面之上。
示例實施方式9:示例實施方式6、7或8之方法,其中,該第一和第二源極或汲極結構具有的半導體材料成分不同於該第一和第二水平奈米線段的垂直堆疊的半導體材料成分。
示例實施方式10:示例實施方式6、7、8或9之方法,其中,第一和第二源極或汲極結構包含矽和鍺,並且第一和第二水平奈米線段的垂直堆疊包含矽。
示例實施方式11:計算裝置包含板材、和耦接到該板材的組件。該組件包含積體電路結構,該積體電路結構包含第一水平奈米線段的垂直堆疊。第二水平奈米線段的垂直堆疊與該第一水平奈米線段的垂直堆疊間隔開。導電結構橫向地在該第一水平奈米線段的垂直堆疊和該第二水平奈米線段的垂直堆疊之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸。第一源極或汲極結構在與該導電結構相反的一側處耦接到該第一水平奈米線段的垂直堆疊。第二源極或汲極結構在與該導電結構相反的一側處耦接到該第二水平奈米線段的垂直堆疊。
示例實施方式12:如示例實施方式11之計算裝置,進一步包含耦接到該板材的記憶體。
示例實施方式13:示例實施方式11或12之計算裝置,進一步包含耦接到該板材的通訊晶片。
示例實施方式14:示例實施方式11、12或13之計算裝置,其中,該組件為封裝的積體電路晶粒。
示例實施方式15:示例實施方式11、12、13或14之計算裝置,其中,該組件選自由處理器、通訊晶片、和數位信號處理器所組成的群組。
示例實施方式16:計算裝置包含板材、和耦接到該板材的組件。該組件包含根據以下方法製造的積體電路結構,該方法包含形成水平奈米線的垂直堆疊,切割該水平奈米線的垂直堆疊以形成第一水平奈米線段的垂直堆疊、以及與該第一水平奈米線段的垂直堆疊間隔開的第二水平奈米線段的垂直堆疊,形成導電結構,該導電結構橫向地在該第一水平奈米線段的垂直堆疊和該第二水平奈米線段的垂直堆疊之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸,形成第一源極或汲極結構,該第一源極或汲極結構在與該導電結構相反的一側處耦接到該第一水平奈米線段的垂直堆疊,以及形成第二源極或汲極結構,該第二源極或汲極結構在與該導電結構相反的一側處耦接到該第二水平奈米線段的垂直堆疊。
示例實施方式17:示例實施方式16之計算裝置,進一步包含耦接到該板材的記憶體。
示例實施方式18:示例實施方式16或17之計算裝置,進一步包含耦接到該板材的通訊晶片。
示例實施方式19:示例實施方式16、17或18之計算裝置,其中,該組件為封裝的積體電路晶粒。
示例實施方式20:示例實施方式16、17、18或19之計算裝置,其中,該組件選自由處理器、通訊晶片、和數位信號處理器所組成的群組。
100:部分 102:擴散區 104:上覆閘極 106:溝槽接點 108:主動閘極 110:閘極接點 112:部分 114:短路 116:虛置裝置 118:擴散區 120:上覆信號線 124:虛置閘極 126:信號線 128:接點 130:虛置裝置 132:虛置裝置 134:上覆虛置閘極 136:溝槽接點 138:接地接點 140:虛置裝置 142:上覆虛置閘極 144:溝槽接點 146:閘極接點 148:虛置裝置 150:上覆虛置閘極 152:溝槽接點 154:金屬線 156:通孔 158:部分 160:擴散區 162:上覆閘極 164:溝槽接點 166:閘極接點 168:部分 170:擴散區 174:溝槽接點 176:上覆閘極 178:金屬線 180:通孔 182:通孔 202:基板 204:子鰭區 206:淺溝槽隔離結構 210:水平奈米線的垂直堆疊 210A:水平奈米線段的垂直堆疊 222:介電質間隔物材料 224:溝槽介電質結構 228:源極或汲極結構 228A:源極或汲極結構 228B:源極或汲極結構 230:氧化物層 230A:部分 232:硬遮罩材料 234:微影層或堆疊 236:開口 238:開放腔體 250:導電結構 402:鰭 404:犧牲層 404’:凹陷的犧牲層 406:奈米線 406’:凹陷的奈米線 408:保護帽 410:閘極堆疊 412:腔體 414:上閘極間隔物 416:腔體間隔物 418:溝槽 420:犧牲材料 422:特徵 424:層間介電質材料 426:永久閘極電極 428:永久閘極介電質 430:溝槽 432:溝槽 434:第一導電接點結構 436:第二導電接點結構 450:缺陷修改層 450’:圖案化的缺陷修改層 452:鬆弛緩衝層 452’:圖案化的鬆弛緩衝層 500:結構 504:突出鰭部分 504A:奈米線 504B:奈米線 505:子鰭區 506:溝槽隔離區 508:閘極線 514:閘極接點 516:上覆閘極接點通孔 540:缺陷修改層 542:鬆弛緩衝層 550:閘極電極層 552:閘極介電質層 554:介電質帽層 560:上覆金屬互連體 570:層間介電質堆疊或層 580:界面 600:積體電路結構 602:基板 604:鰭 605:奈米線 606:量 608:隔離結構 620:缺陷修改層 622:鬆弛緩衝層 650:積體電路結構 652:基板 654:鰭 655:奈米線 656:量 658:隔離結構 660:SAGE壁 662:閘極端帽間距 670:缺陷修改層 672:鬆弛緩衝層 702:基板 704:奈米線圖案化堆疊 706:微影圖案化堆疊 710:犧牲層 712:奈米線層 712A:奈米線 712B:奈米線 714:保護遮罩 720:形貌遮蔽部分 720’:圖案化的形貌遮蔽層 722:抗反射塗佈層 724:光阻層 730:溝槽 740:隔離層 741:溝槽隔離結構 742:SAGE材料 780:缺陷修改層 782:鬆弛緩衝層 800:積體電路結構 802:基板 802A:下基板部分 802B:缺陷修改層 802C:鬆弛緩衝層 804:奈米線 804A:奈米線 804B:奈米線 804C:奈米線 806:通道區 808:閘極電極堆疊 810:源極或汲極區 812:源極或汲極區 814:接點 816:間隔物 900:計算裝置 902:板材 904:處理器 906:通訊晶片 1000:中介物 1002:第一基板 1004:第二基板 1006:球柵格陣列 1008:金屬互連體 1010:通孔 1012:矽穿孔 1014:嵌入裝置 L:長度 Pc:周長 Hc:高度 Wc:寬度
[圖1A]繪示表示用於SRAM裝置或虛置裝置的跨接閘極以及基礎結構的平面視圖,根據本揭露的實施方式。
[圖1B]繪示表示各種短路虛置裝置的平面視圖,根據本揭露的實施方式。
[圖1C]繪示表示各種短路SRAM裝置的平面視圖,根據本揭露的實施方式。
[圖2A至2C]繪示用於製造具有跨接閘極的閘極全環繞積體電路結構的方法中的各種操作的橫截面視圖,根據本揭露的實施方式。
[圖3]繪示具有跨接閘極的閘極全環繞積體電路結構的橫截面視圖,根據本揭露的實施方式。
[圖4A至4J]繪示用於製造閘極全環繞積體電路結構的方法中的各種操作的橫截面視圖,根據本揭露的實施方式。
[圖5]繪示非平面積體電路結構沿閘極線截取的橫截面視圖,根據本揭露的實施方式。
[圖6]繪示穿過用於非端帽架構(左手側(a))與自對準閘極端帽(SAGE)架構(右手側(b))的奈米線和鰭所截取的橫截面視圖,根據本揭露的實施方式。
[圖7]繪示表示用於製造具有閘極全環繞裝置的自對準閘極端帽(SAGE)結構的方法中的各種操作的橫截面視圖,根據本揭露的實施方式。
[圖8A]繪示了基於奈米線的積體電路結構的三維橫截面視圖,根據本揭露實施方式。
[圖8B]繪示圖8A的基於奈米線的積體電路結構沿a-a'軸所截取的橫截面源極或汲極視圖,根據本揭露的實施方式。
[圖8C]繪示圖8A的基於奈米線的積體電路結構沿b-b'軸所截取的橫截面通道視圖,根據本揭露的實施方式。
[圖9]繪示計算裝置,根據揭露的實施方式之一實施方案。
[圖10]繪示中介物,其包含本揭露的一或更多實施方式。
202:基板
204:子鰭區
206:淺溝槽隔離結構
210A:水平奈米線段的垂直堆疊
222:介電質間隔物材料
224:溝槽介電質結構
228A:源極或汲極結構
228B:源極或汲極結構
250:導電結構
300:積體電路結構

Claims (20)

  1. 一種積體電路結構,包括: 第一水平奈米線段的垂直堆疊; 第二水平奈米線段的垂直堆疊,其與該第一水平奈米線段的垂直堆疊間隔開; 導電結構,其橫向地在該第一水平奈米線段的垂直堆疊和該第二水平奈米線段的垂直堆疊之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸; 第一源極或汲極結構,其在與該導電結構相反的一側處耦接到該第一水平奈米線段的垂直堆疊;以及 第二源極或汲極結構,其在與該導電結構相反的一側處耦接到該第二水平奈米線段的垂直堆疊。
  2. 如請求項1之積體電路結構,其中,該導電結構垂直在子鰭結構上方。
  3. 如請求項1或2之積體電路結構,其中,該導電結構具有的最上表面在該第一和第二源極或汲極結構的最上表面之上。
  4. 如請求項1或2之積體電路結構,其中,該第一和第二源極或汲極結構具有的半導體材料成分不同於該第一和第二水平奈米線段的垂直堆疊的半導體材料成分。
  5. 如請求項4之積體電路結構,其中,該第一和第二源極或汲極結構包括矽和鍺,以及該第一和第二水平奈米線段的垂直堆疊包括矽。
  6. 一種製造積體電路結構的方法,該方法包括: 形成水平奈米線的垂直堆疊; 切割該水平奈米線的垂直堆疊以形成第一水平奈米線段的垂直堆疊、以及與該第一水平奈米線段的垂直堆疊間隔開的第二水平奈米線段的垂直堆疊; 形成導電結構,該導電結構橫向地在該第一水平奈米線段的垂直堆疊和該第二水平奈米線段的垂直堆疊之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸; 形成第一源極或汲極結構,該第一源極或汲極結構在與該導電結構相反的一側處耦接到該第一水平奈米線段的垂直堆疊;以及 形成第二源極或汲極結構,該第二源極或汲極結構在與該導電結構相反的一側處耦接到該第二水平奈米線段的垂直堆疊。
  7. 如請求項6之方法,其中,該導電結構垂直在子鰭結構上方。
  8. 如請求項6或7之方法,其中,該導電結構具有的最上表面在該第一和第二源極或汲極結構的最上表面之上。
  9. 如請求項6或7之方法,其中,該第一和第二源極或汲極結構具有的半導體材料成分不同於該第一和第二水平奈米線段的垂直堆疊的半導體材料成分。
  10. 如請求項9之方法,其中,該第一和第二源極或汲極結構包括矽和鍺,以及該第一和第二水平奈米線段的垂直堆疊包括矽。
  11. 一種計算裝置,包括: 板材;以及 耦接到該板材的組件,該組件包含積體電路結構,該積體電路結構包括: 第一水平奈米線段的垂直堆疊; 第二水平奈米線段的垂直堆疊,其與該第一水平奈米線段的垂直堆疊間隔開; 導電結構,其橫向地在該第一水平奈米線段的垂直堆疊和該第二水平奈米線段的垂直堆疊之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸; 第一源極或汲極結構,其在與該導電結構相反的一側處耦接到該第一水平奈米線段的垂直堆疊;以及 第二源極或汲極結構,其在與該導電結構相反的一側處耦接到該第二水平奈米線段的垂直堆疊。
  12. 如請求項11之計算裝置,進一步包括: 耦接到該板材的記憶體。
  13. 如請求項11或12之計算裝置,進一步包括: 耦接到該板材的通訊晶片。
  14. 如請求項11或12之計算裝置,其中,該組件為封裝的積體電路晶粒。
  15. 如請求項11或12之計算裝置,其中,該組件選自由處理器、通訊晶片、和數位信號處理器所組成的群組。
  16. 一種計算裝置,包括: 板材;以及 耦接到該板材的組件,該組件包含積體電路結構,該積體電路結構根據包括以下方法所製造: 形成水平奈米線的垂直堆疊; 切割該水平奈米線的垂直堆疊以形成第一水平奈米線段的垂直堆疊、以及與該第一水平奈米線段的垂直堆疊間隔開的第二水平奈米線段的垂直堆疊; 形成導電結構,該導電結構橫向地在該第一水平奈米線段的垂直堆疊和該第二水平奈米線段的垂直堆疊之間且直接與該第一水平奈米線段的垂直堆疊以及與該第二水平奈米線段的垂直堆疊電接觸; 形成第一源極或汲極結構,該第一源極或汲極結構在與該導電結構相反的一側處耦接到該第一水平奈米線段的垂直堆疊;以及 形成第二源極或汲極結構,該第二源極或汲極結構在與該導電結構相反的一側處耦接到該第二水平奈米線段的垂直堆疊。
  17. 如請求項16之計算裝置,進一步包括: 耦接到該板材的記憶體。
  18. 如請求項16或17之計算裝置,進一步包括: 耦接到該板材的通訊晶片。
  19. 如請求項16或17之計算裝置,其中,該組件為封裝的積體電路晶粒。
  20. 如請求項16或17之計算裝置,其中,該組件選自由處理器、通訊晶片、和數位信號處理器所組成的群組。
TW111142017A 2021-12-10 2022-11-03 用於先進積體電路結構的跨接閘極 TW202325650A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/548,006 2021-12-10
US17/548,006 US20230187356A1 (en) 2021-12-10 2021-12-10 Jumper gate for advanced integrated circuit structures

Publications (1)

Publication Number Publication Date
TW202325650A true TW202325650A (zh) 2023-07-01

Family

ID=84363156

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111142017A TW202325650A (zh) 2021-12-10 2022-11-03 用於先進積體電路結構的跨接閘極

Country Status (5)

Country Link
US (1) US20230187356A1 (zh)
EP (1) EP4194395A1 (zh)
KR (1) KR20230088242A (zh)
CN (1) CN116264249A (zh)
TW (1) TW202325650A (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11588052B2 (en) * 2018-08-06 2023-02-21 Intel Corporation Sub-Fin isolation schemes for gate-all-around transistor devices
US11764263B2 (en) * 2019-01-04 2023-09-19 Intel Corporation Gate-all-around integrated circuit structures having depopulated channel structures using multiple bottom-up oxidation approaches
US11824116B2 (en) * 2019-12-18 2023-11-21 Intel Corporation Gate-all-around integrated circuit structures having devices with channel-to-substrate electrical contact
US11417781B2 (en) * 2020-03-25 2022-08-16 Intel Corporation Gate-all-around integrated circuit structures including varactors

Also Published As

Publication number Publication date
CN116264249A (zh) 2023-06-16
EP4194395A1 (en) 2023-06-14
US20230187356A1 (en) 2023-06-15
KR20230088242A (ko) 2023-06-19

Similar Documents

Publication Publication Date Title
TW202105676A (zh) 具有嵌入式GeSnB源極或汲極結構的環繞式閘極積體電路結構
TW202129971A (zh) 具有低長寬比隔離結構和子鰭的環繞式閘極積體電路結構
CN111725301A (zh) 具有带有外延块的源极或漏极结构的环绕栅集成电路结构
CN114256232A (zh) 具有间隔体沉积前切割栅极的全环栅集成电路结构的制造
US20210202696A1 (en) Gate-all-around integrated circuit structures having removed substrate
US20230163215A1 (en) Gate-all-around integrated circuit structures having fin stack isolation
EP4105980A1 (en) Fin cut in neighboring gate and source or drain regions for advanced integrated circuit structure fabrication
US20220416040A1 (en) Released fin for advanced integrated circuit structure fabrication
US20230197816A1 (en) Integrated circuit structures having metal gate plug landed on dielectric anchor
US20230093657A1 (en) Integrated circuit structures having dielectric gate wall and dielectric gate plug
US20220392898A1 (en) Integrated circuit structures having cut metal gates
KR20220037951A (ko) 인접 아일랜드 구조체들을 갖는 게이트-올-어라운드 집적 회로 구조체들의 제조
KR20210078389A (ko) 소스/드레인 대 기판 전기적 접촉을 갖는 디바이스를 가지는 게이트 올 어라운드 집적 회로 구조체
CN110970424A (zh) 使用自底向上方法的具有减少填充的沟道结构的全环绕栅极集成电路结构
TWI839471B (zh) 具有鍺奈米線通道結構的環繞式閘極積體電路結構
TW202325650A (zh) 用於先進積體電路結構的跨接閘極
US20230187494A1 (en) Integrated circuit structures having maximized channel sizing
US20230317808A1 (en) Integrated circuit structures having differentiated channel sizing
US20230197819A1 (en) Integrated circuit structures having metal gate plug landed on dielectric dummy fin
EP4099373A1 (en) Gate aligned fin cut for advanced integrated circuit structure fabrication
US20230187444A1 (en) Integrated circuit structures having gate cut offset
US20230187515A1 (en) Integrated circuit structures having versatile channel placement
US20240145568A1 (en) Integrated circuit structures having dielectric anchor void
US20240113111A1 (en) Integrated circuit structures having fin isolation regions recessed for gate contact
US20240105804A1 (en) Integrated circuit structures having fin isolation regions bound by gate cuts