TW202323993A - Euv抗蝕劑的uv處理 - Google Patents

Euv抗蝕劑的uv處理 Download PDF

Info

Publication number
TW202323993A
TW202323993A TW111141980A TW111141980A TW202323993A TW 202323993 A TW202323993 A TW 202323993A TW 111141980 A TW111141980 A TW 111141980A TW 111141980 A TW111141980 A TW 111141980A TW 202323993 A TW202323993 A TW 202323993A
Authority
TW
Taiwan
Prior art keywords
euv
dose
exposure
resist
substrate
Prior art date
Application number
TW111141980A
Other languages
English (en)
Inventor
史帝芬 格熱希科維亞克
安潔莉 萊利
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202323993A publication Critical patent/TW202323993A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種方法包括:將具有抗蝕劑的襯底裝載到顯影機軌道上,該抗蝕劑包括用第一劑量的在UV光譜的極紫外(EUV)輻射區域中的UV光曝光的圖案;在第一UV曝光模組中用第二劑量的紫外光輻射全面曝光該襯底;以及在該全面曝光後,顯影該圖案。

Description

EUV抗蝕劑的UV處理
本發明總體上關於EUV抗蝕劑,並且特別是關於極紫外(EUV)抗蝕劑的紫外(UV)處理。
隨著半導體幾何結構尺度的間距越來越小,用於列印該等圖案的光的波長必然越來越短。根據半導體技術線路圖,計畫於2007年將EUV掃描器引入製造。在製造具有足夠強度的EUV源時遇到的技術挑戰以及在製造對EUV輻射具有足夠靈敏度的EUV光致抗蝕劑時遇到的技術挑戰將EUV光刻技術的引入推遲到了2019年。
最近開發的靈敏度增加的有機金屬抗蝕劑和強度增加的EUV源使得能夠將EUV圖案化引入到5 nm和7 nm電晶體節點的半導體製造中。
一種方法包括:將具有抗蝕劑的襯底裝載到顯影機軌道上,該抗蝕劑包括用第一劑量的在UV光譜的極紫外(EUV)輻射區域中的UV光曝光的圖案;在第一UV曝光模組中用第二劑量的紫外光輻射全面曝光(blanket exposing)該襯底;以及在該全面曝光後,顯影該圖案。
一種使用第一劑量的在UV光譜的極紫外(EUV)區域中的UV光在襯底上形成圖案之方法,包括將該襯底放置在EUV光刻工具內,該襯底的曝光的外表面包括EUV抗蝕劑;將EUV抗蝕劑曝光於通過包括待轉移圖案的光學掩模傳輸的EUV光;用第二劑量的UV光全面曝光該襯底上的該EUV抗蝕劑;以及在該全面曝光後,顯影該EUV抗蝕劑以形成該待轉移的圖案。
一種處理襯底之方法,包括將有機金屬光致抗蝕劑設置在襯底上形成的待圖案化層上,該有機金屬光致抗蝕劑包括附接在金屬氧化物顆粒上的烷基配位基;藉由從該待顯影的有機金屬光致抗蝕劑的部分中去除第一分數的該烷基配位基來形成光產物;冷凝該光產物以在該有機金屬光致抗蝕劑的該曝光部分中形成金屬氧化物網路;從該有機金屬光致抗蝕劑的曝光部分的金屬氧化物網路中和該有機金屬光致抗蝕劑的未曝光部分中去除第二分數的該烷基配位基;以及在去除該第二分數的該烷基配位基之後,顯影該有機金屬光致抗蝕劑以形成圖案化的有機金屬光致抗蝕劑。
儘管已經參考說明性實施方式描述了本發明,但是此描述並非旨在以限制性的意義來解釋。參考描述,說明性實施方式以及本發明之其他實施方式的各種修改和組合對於熟悉該項技術者將是顯而易見的。因此,意圖係所附請求項涵蓋任何這樣的修改或實施方式。
儘管EUV工具在過去十年中取得了很大進展,但EUV光刻機因其生產量低仍然是製造業的瓶頸工具。可以藉由EUV光刻機處理的晶圓數量受到EUV光源強度以及EUV抗蝕劑靈敏度的限制。在顯影期間,EUV圖案中的浮渣的製程窗口可能很窄,尤其別是在間距緊密的區域。
本申請之實施方式揭露了藉由用全面UV光曝光極紫外(EUV)抗蝕劑圖案來形成圖案之方法。本申請之實施方式揭露了當在EUV抗蝕劑中形成圖案時藉由EUV光刻機減少循環時間之方法。本申請之實施方式揭露了在EUV抗蝕劑中形成圖案時改善對比度和減少浮渣之方法。
圖1A係示出了根據實施方式在極紫外(EUV)抗蝕劑中形成圖案的主要步驟之流程圖。圖1B至1F係進一步示出圖1A之流程圖中的一些主要步驟之截面圖。
該製程包括用EUV光致抗蝕劑塗覆襯底的塗覆製程(框100)。如圖1B所示,在半導體製造中,半導體晶圓襯底10在塗布機/顯影機軌道60中用EUV抗蝕劑20塗覆。在用EUV抗蝕劑塗覆之前,可以在襯底10上沈積待圖案化的層11,例如硬掩模層。在各個實施方式中,襯底10可以已經包括多個層,其包括用於形成有源器件的摻雜區。在用EUV抗蝕劑20塗覆襯底10之前,可以在待圖案化的層11上沈積附加層15,例如抗反射層和黏附促進層。
EUV抗蝕劑20可以是化學放大(CAR)EUV抗蝕劑、光酸產生(PAG)EUV抗蝕劑或有機金屬EUV抗蝕劑。有機金屬EUV抗蝕劑20包括由共價鍵合到金屬氧化物芯的有機烷基包圍的金屬氧化物芯。金屬氧化物芯可以是例如氧化錫、氧化鉿、氧化鋅和氧化鋯。金屬氧化物芯中的金屬原子比有機聚合物抗蝕劑中的碳原子和氧原子更強烈地吸收EUV光,使得有機金屬EUV抗蝕劑對EUV更敏感。
接著,參考框102和圖1C,將襯底10轉移到EUV光刻光刻機50,在那裡一定劑量的EUV光27投射通過光學掩模25以在EUV抗蝕劑20中形成圖案30。在有機金屬EUV抗蝕劑20中,EUV抗蝕劑的曝光部分21變得不溶於顯影劑,而EUV抗腐蝕劑的未曝光部分20保持可溶。可以使用濕法顯影製程或乾法顯影製程來顯影圖案。
如接下來框104所示,襯底可以返回到塗布機/顯影機軌道60以進行曝光後烘烤。襯底10通常在50°C至250°C的溫度下在空氣中烘烤1至3分鐘。該EUV曝光後烘焙條件選擇為促進曝光的抗蝕劑中的交聯度,以改善對比度並降低線邊緣粗糙度(LER)。
通常,當在EUV抗蝕劑20中形成圖案時,下一步係顯影該圖案(框112)。根據一實施方式,藉由在顯影步驟(框112)之前引入全面UV曝光步驟(框108)來改進EUV圖案化製程。
圖1D示出了根據實施方式接下來進行全面UV處理的襯底。
如圖1D所示,將具有圖案化幾何結構30(曝光的EUV抗蝕劑21和未曝光的EUV抗蝕劑20)的襯底10裝載回塗布機/顯影機軌道60中並在第一UV曝光模組33中進行UV光的全面曝光23。
全面UV曝光23在沒有任何光學掩模的情況下進行並且因此先前已經曝光於EUV光27的區域和未曝光於EUV光27的區都被曝光。由於這種全面曝光,該製程幾乎沒有額外成本。
在各個實施方式中,在全面UV曝光23期間的光的波長範圍從約130 nm至約 300 nm,例如在一實施方式中在150 nm與200 nm之間並且在另一實施方式中在130 nm與 300 nm之間。在各個實施方式中,在全面UV曝光23期間UV光的劑量在約1 mJ/cm2與約100 mJ/cm2之間,例如在1 mJ/cm2與約100 mJ/cm2之間。
圖1E示出了在顯影期間去除EUV抗蝕劑20的可溶部分之後的襯底10之截面圖。保留了不溶性幾何結構的圖案30。實施方式的全面UV光曝光可以藉由減少達到目標臨界尺寸(CD)31所需的EUV光27的劑量來改善EUV光刻機的生產量。該實施方式的全面UV光曝光23改善了CD可調節的範圍。如圖1E所示,在顯影有機金屬EUV抗蝕劑20之後,浮渣35可能會尤其是保留在間距緊密的區域。該實施方式的全面UV曝光23有利地可用於減少或消除在顯影後形成的浮渣35(框112)。此外,該實施方式的全面UV曝光23也可以改善對比度。
視需要的全面UV曝光後烘烤(PEB)(框110)可以在全面UV曝光步驟(框108)之後並且在顯影步驟(框112)之前進行。該PEB可以藉由在50°C至250°C的溫度下在空氣或氮氣中對晶圓進行1至3分鐘的烘烤製程來進行。全面UV曝光後烘烤條件選擇為減少浮渣、改善線邊緣粗糙度(LER)、改善對比度與減少達到目標CD所需的EUV劑量之間的最佳折衷。
在各個實施方式中,可使用濕法顯影製程或乾法顯影製程進行框112中的顯影。在濕法顯影的情況下,在顯影後,顯影劑溶液的液滴可能殘留在最小間距線之間的深槽中。來自該等液滴的表面張力可能使該等間隔較窄的線變形,從而導致圖案變形和橋接。在某些情況下,濕法顯影可能導致高而窄的抗蝕劑線傾倒。
在各個實施方式中,可以使用乾法顯影製程來避免濕法顯影的上述問題。例如,EUV有機金屬抗蝕劑可以使用氫氣和鹵素氣體(如溴、氯)或鹵化氫(如HBr、HCl或HI)的混合物進行乾法顯影。可以藉由首先使氣體通過遠端電漿以產生活性氫和鹵素自由基來減少顯影時間。
在顯影之後,可以進行視需要的顯影後烘烤(框114)。顯影後烘烤製程也可以是全面UV曝光,其額外交聯抗蝕劑以減少後續電漿蝕刻步驟(UV硬化)期間的抗蝕劑侵蝕。顯影後烘烤也可以是高溫烘烤(硬烘烤),其使抗蝕劑脫氣並針對高溫(例如在高電流注入步驟中產生的高溫)使圖案穩定。
顯影後,如果存在浮渣35(圖1E),可以用高劑量的UV光進行視需要的全面曝光以去除浮渣35(框116)。當EUV圖案中的浮渣35殘留物大部分由碳組成時,可以使用UV光照射產生的臭氧以有效去除浮渣。在各個實施方式中,在空氣環境中,大於80 mJ/cm 2以及在一實施方式中大於100 mJ/cm 2的UV光劑量可以去除碳質浮渣。在各個實施方式中,在視需要的全面曝光期間,光的波長範圍從約130 nm到300 nm,例如在一實施方式中在150 nm與200 nm之間並且在另一實施方案中在130 nm與300 nm之間。在框116中的視需要的全面曝光期間的光的波長可以不同於在框108中描述的先前全面曝光期間的光波長。在一個實施方式中,在框116中的視需要的全面曝光期間的光的波長小於在框108中描述的先前全面曝光期間的光波長。在另一實施方式中,與先前的UV曝光相比,視需要的全面曝光處於相似或更低的波長,因為小於240 nm的UV波長藉由氧分子的光解產生臭氧。UV光破壞碳原子之間的鍵,從而在碳原子上形成活性位點。藉由UV光在環境空氣中產生的臭氧與碳活性位點反應,以形成氣態氧化碳產物,如一氧化碳和二氧化碳。
在用於在EUV抗蝕劑中形成圖案的實施方式方法中,EUV抗蝕劑20(圖1B)接收通過光學掩模25投射的EUV光27(圖1C)的第一UV曝光,該光學掩模包括待轉移到襯底10上的圖案30。用於第一曝光的EUV光27處於UV光譜的極紫外(EUV)區域(約10 nm至120 nm,例如13.5 nm)。需要這種短波長以在掩模25上印刷窄的幾何結構和緊密的間距。在用EUV光27印刷該圖案之後,EUV抗蝕劑在沒有掩模的情況下接收具有更長波長(130 nm至300 nm)的UV光的第二UV曝光23(圖1D)。
圖1F示出了在蝕刻製程之後將光致抗蝕劑圖案30轉移到硬掩模層11中的襯底10。在將EUV抗蝕劑圖案30轉移到硬掩模層11中之後,去除EUV抗蝕劑圖案30和其下面的中間層15。
圖2A - 2C和圖3示出了有機金屬EUV光致抗蝕劑在UV和EUV曝光期間可能發生的化學反應。
如圖2A所示,有機金屬抗蝕劑由被有機烷基配位基122包圍的金屬氧化物芯120組成。配位基122鍵合到芯120。如圖2B所示,在用EUV或UV光曝光的區域中,配位基122與芯120之間的鍵可能會斷開,從而釋放作為擴散離開的氣體分子的配位基122。這係因為UV和EUV光都具有斷開配位基122與芯120之間的鍵的能量。
在去除配位基122的芯120上形成的活性位點124與周圍空氣中的氧分子和水分子反應,以在芯120與氫原子128、氧原子130和羥基126之間形成鍵。隨著曝光於UV或EUV光的增加,附接羥基126的芯120的濃度增加。
如圖3所示,當附接羥基126的芯120變得足夠富集時,相鄰的附接羥基126的芯120可以發生縮合反應,其分離出水分子並藉由氧橋132將兩個芯120連接在一起。在高濃度下,多個芯120橋接在一起以形成二維(2-D)和三維(3-D)金屬氧化物網路。例如,該等金屬氧化物網路可能不溶於顯影劑。
圖4係比較僅使用EUV曝光形成的EUV有機金屬抗蝕劑中的幾何結構的線寬(臨界尺寸或CD)與在各個實施方式中描述的使用EUV曝光和全面UV曝光形成的EUV有機金屬抗蝕劑中幾何結構的CD之曲線圖。入射EUV輻射和從實驗數據獲得的乾法顯影製程後的CD以任意單位表示,以便於說明。
第一數據集136表示藉由改變EUV曝光而沒有任何額外UV曝光獲得的CD。第二數據集138表示藉由用固定的全面UV曝光改變EUV曝光獲得的CD。
如圖4所示,當與本揭露實施方式中所述之全面UV光組合時,可以使用更低的EUV劑量來實現目標CD 134。相反,在沒有全面UV曝光的情況下,僅使用EUV光,目標CD 134甚至可能無法用更大的劑量實現(或者在某些情況下,最好用2倍的EUV劑量實現)。如上所述,常規EUV曝光所需的高劑量係製造過程中的瓶頸。EUV劑量減少同時仍實現目標曝光以及由此目標CD使得每小時更多晶圓通過瓶頸工具。
此外,使用本揭露的實施方式,可以改善對CD的靈敏度範圍。因此,藉由簡單地改變EUV劑量,可以用相同的製程實現更大範圍的目標CD,這在製造/設計中非常有用。
圖5和圖6示出了使用額外的全面UV劑量在降低EUV劑量的同時達到臨界尺寸。
圖5係EUV抗蝕劑層140之截面圖。疊加在EUV抗蝕劑140層上的是藉由光掩模中的開口投射的EUV光的劑量142之曲線圖。EUV劑量在被掩模阻擋的EUV抗蝕劑140的側面較低並且在未被阻擋的EUV抗蝕劑140的中間較高。EUV光劑量在過渡區145中以陡峭的斜率下降,其從掩模開口中的高劑量過渡到圖案化幾何結構邊緣處的低劑量。調整曲線圖,使得顯影閾值的劑量與EUV抗蝕劑140的表面重合。當EUV劑量大於其在掩模開口中的顯影閾值時,使得曝光的EUV抗蝕劑不溶於顯影劑。當EUV劑量小於顯影閾值時,如在光掩模阻擋EUV的抗蝕劑的側面上,EUV抗蝕劑保持可溶並顯影。全面UV曝光144在整個EUV抗蝕劑140上施加均勻劑量。在EUV劑量較高的EUV抗蝕劑140的中間,全面UV劑量增加了UV曝光並使EUV抗蝕劑140更加不溶。在EUV抗蝕劑140的側面,所添加的全面UV劑量不足以達到顯影閾值,因此EUV抗蝕劑140保持溶於顯影劑。在劑量快速下降的過渡區145中,相鄰的不溶性EUV幾何結構邊緣的全面UV曝光增加了足夠的UV劑量,以將EUV抗蝕劑140推到顯影閾值之上。與不溶性EUV幾何結構相鄰的EUV抗蝕劑現在變得不溶,從而增加了不溶性的EUV幾何結構的CD。
圖6比較了僅用EUV劑量142曝光的EUV抗蝕劑幾何結構146的顯影後CD 148與用EUV和全面UV曝光144曝光的EUV抗蝕劑幾何結構150的顯影後CD 152。EUV抗蝕劑幾何結構150的顯影後CD 152大於EUV抗蝕劑幾何結構146的顯影後CD 148。隨著全面UV劑量的增加,顯影後CD 152增加。
圖7係比較了僅使用EUV曝光形成的EUV有機金屬蝕劑中的幾何結構的線寬(臨界尺寸或CD)與在各個實施方式中描述的乾法顯影和濕法顯影製程之後,使用EUV曝光和全面UV曝光形成的EUV有機金屬抗蝕劑中的幾何結構的CD。從實驗數據中獲得的CD以任意單位表示,以便於說明。
在圖7中,將EUV和全面UV曝光後藉由濕法顯影製程形成的幾何結構的第三數據集154的CD與藉由乾法顯影製程形成的第二數據集138的CD進行比較。與第二數據集138的乾法顯影CD類似,濕法顯影製程也用於以相比於僅使用EUV曝光獲得的第一數據集136的CD更低的EUV劑量獲得目標CD 134。在該實例中,濕法顯影製程需要乾法顯影製程約50%的EUV劑量來實現目標CD。在該實例中,對於濕法顯影製程,CD的變化小於乾法顯影製程的變化,例如,使用濕法顯影方法獲得的CD的變化係約25%。
圖8中之流程圖描述了選擇EUV和全面UV劑量之方法中的主要步驟,其使能實現更高的EUV光刻機生產量。由於EUV曝光劑量和全面UV暴露劑量係強耦合的,因此選擇了實驗設計(DOE)方法進行說明。可以使用其他方法,例如獨立地改變EUV劑量和全面UV劑量,但可能效率較低。
參考框170,進行雙因子實驗設計(DOE)的實驗,其中EUV劑量被選擇為第一因子並且全面UV劑量被選擇作為第二因子。DOE實驗空間中的一個角點係零劑量全面UV用例的最大EUV劑量。
接下來參考框172,在步驟170中運行的晶圓上的DOE空間上的所有實驗點上測量CD。該CD可以使用本領域已知的技術來測量。
如接下來在框174中所示,對測量的CD與第一軸上的EUV劑量和第二軸上的全面UV劑量的關係生成回應面。
如接下來在框176中所描述的,選擇一組EUV劑量/全面UV劑量組合,其跨越目標CD上的EUV範圍。
如接下來在框178中所示,用EUV劑量/全面UV劑量組合處理晶圓,該組合跨越目標CD上的EUV範圍。
在框180中,對在框178中處理的每個晶圓評估諸如週期時間、缺陷數量、線邊緣粗糙度和CD的參數。
在框182中,為基線EUV圖案化製程選擇EUV劑量/全面UV劑量組合。如先前關於圖4所討論的,全面UV劑量使得能夠以更低的EUV劑量達到目標CD。隨著EUV劑量的降低,可以藉由EUV光刻機處理更多的晶圓。因此,希望選擇盡可能低的EUV劑量,其產生可接收的線邊緣粗糙度且不留下浮渣。所希望的是選擇將所需EUV劑量減少至少20%並且更較佳的是至少30%的全面UV劑量。
在EUV圖案中,尤其是在高縱橫比(窄而深)溝槽中,浮渣可能是一個問題。浮渣可能由多種因素引起,包括(但不限於):(1)可在乾法顯影期間形成的可通向蝕刻停止層的鈍化層,(2)抗蝕劑和底層介面處使其更難去除的化學不同材料,(3)在乾法顯影過程中形成的非揮發性副產物,以及(4)由於隨機效應(尤其是在圖案化密特徵時),在不需要的區域中引起反應雜散光子。有機金屬EUV抗蝕劑中的烷基可能潛在地導致高碳含量的EUV抗蝕劑。
對於選定的顯影劑,有機金屬EUV抗蝕劑的顯影速度增加,直到達到特定的附加UV輻射劑量並且然後隨著附加UV輻射而降低。
圖9係相對於UV輻射劑量,定時顯影製程後保留在晶圓上的EUV抗蝕劑層的厚度之曲線圖。隨著UV劑量從零(第一點190)增加,剩餘抗蝕劑的厚度減小,直到其在第二點192處達到最小厚度。劑量越高,剩餘的抗蝕劑越厚。抗蝕劑厚度隨UV劑量的減少對應於EUV抗蝕劑顯影速度的增加。這種不尋常的行為可以用來減少浮渣並提高對比。
在第一EUV曝光期間(圖1C),當用EUV光27印刷待轉移的圖案25時,烷基配位基122和金屬氧化物芯120之間的鍵斷裂,從而從EUV抗蝕劑20釋放第一分數的烷基配位基。此外,在高EUV劑量下,形成可能不溶的金屬氧化物網路。
第二UV曝光23(圖1D)係全面(無掩模)曝光。其曝光了EUV抗蝕劑21的在圖案化期間先前接收一定劑量的EUV光27的部分,並且還曝光了先前具有明顯更少劑量的EUV抗蝕劑20的部分。
第二UV曝光23增加了EUV抗蝕劑21的先前用EUV光27曝光的部分的總UV劑量。這種額外的UV劑量釋放第二分數的烷基配位基並且還產生更多的金屬氧化物網路。該等額外的金屬氧化物網路增加了EUV曝光的EUV抗蝕劑21的不溶性。
第二UV曝光23還從EUV抗蝕劑20的在圖案化期間接收很少或沒有劑量的EUV光27的部分釋放第二分數的烷基配位基。隨著UV光23劑量的增加,釋放額外的烷基配位基。隨著更多烷基配位基被釋放,EUV抗蝕劑20的碳含量降低。降低的碳含量增加了顯影速度。隨著顯影速度的增加,對比度增加並且浮渣減少。
附接羥基的芯120的濃度隨著UV曝光劑量的增加而增加,並且金屬氧化物網路開始形成。金屬氧化物網路的增加降低了顯影速度。金屬氧化物網路的增加降低了顯影速度。這兩個相互競爭的反應:含碳烷基配位基的損失與不溶性金屬氧化物網路的形成係造成圖9中剩餘抗蝕劑曲線中最小第二點192的原因。
有機金屬EUV抗蝕劑中乾法顯影化學的顯影速度係非單調的。低EUV曝光的顯影速度隨著額外的UV劑量而增加,而更高EUV曝光的顯影速度隨著額外的UV劑量而降低。可以選擇額外的全面UV劑量的劑量,使得顯影速度變得單調(例如,將全面UV劑量增加到晶圓上最低劑量區域達到最大顯影速度的點)。更高的UV劑量完全降低了有機金屬EUV抗蝕劑的乾法顯影速度。
圖10之流程圖中描述了減少EUV抗蝕劑圖案中浮渣35(圖1E)之方法的主要步驟。該方法係首先確定使EUV抗蝕劑的顯影速度最大化的全面UV劑量並且然後圍繞該點進行實驗,改變全面UV劑量以找到具有最小浮渣的全面UV劑量。產生最高對比的UV劑量不一定係還使浮渣最小化的劑量。
參考框200,用EUV光的基線劑量的EUV抗蝕劑塗覆多個襯底。接下來,如框202中,將襯底裝載在塗布機/顯影機軌道中,並進行曝光後烘烤。接下來,在框204中,將襯底曝光於具有從零劑量(即無光)開始的一系列增加劑量的UV光的全面UV光。
如接下來在框206中所描述的,在圖案被顯影固定時間段,使一些抗蝕劑保留在很少或沒有EUV曝光的區域中,並且測量保留在很少或者沒有EUV曝光的區域中的抗蝕劑的厚度。
如接下來在框208中所描述的,選擇全面UV劑量,其使剩餘的抗蝕劑(在具有零EUV劑量的區域中)比具有零EUV劑量和零全面UV劑量的襯底上的殘留抗蝕劑至少薄25%。較佳的是,選擇全面UV劑量,其使剩餘抗蝕劑至少薄40%。提供最薄剩餘抗蝕劑的全面UV劑量通常也產生最高的對比度,但不一定產生最低的浮渣。
接下來,在框210中,用框208中選擇的劑量處理晶圓並使其充分顯影以從零EUV曝光的區域完全去除抗蝕劑。接下來在框212中,檢查晶圓是否有浮渣。可以使用計量技術來識別浮渣,該計量技術可以包括光學計量,如散射測量、橢圓計測量、顯微鏡,如掃描電子顯微鏡和其他技術。
如果在進行框212之後發現浮渣,則在框214中,調整全面UV曝光劑量,並用新的全面UV劑量重複該過程,直到處理後沒有留下浮渣區域。減少浮渣可能需要更高的全面UV劑量來去除更多的含碳配位基,或者可能需要更低的全面UV濃度來降低金屬氧化物網路的濃度。
可以重複框212和214,直到識別出浮渣的最佳條件。當確定最佳條件時,進行步驟9 217,並為基線EUV圖案化製程選擇該全面UV劑量。
這裡總結了本發明之示例實施方式。從說明書的整體以及本文提出的請求項中也可以理解其他實施方式。
實例1. 一種方法,包括:將具有抗蝕劑的襯底裝載到顯影機軌道上,該抗蝕劑包括用第一劑量的在UV光譜的極紫外(EUV)輻射區域中的UV光曝光的圖案;在第一UV曝光模組中用第二劑量的紫外光輻射全面曝光該襯底;以及在該全面曝光後,顯影該圖案。
實例2. 如實例1所述之方法,進一步包括在該全面曝光之前進行曝光後烘烤,該曝光後烘烤被配置為將該襯底在空氣或氮氣環境中在50°C至250°C溫度條件下烘烤1至3分鐘。
實例3. 如實例1所述之方法,進一步包括在該全面曝光後並且在該顯影之前進行曝光後烘烤,該曝光後烘烤被配置為將該襯底在空氣或氮氣環境中在50°C至250°C溫度條件下烘烤1至3分鐘。
實例4. 如實例1至3之一所述之方法,其中該第一UV曝光模組中的光的波長範圍從130 nm至300 nm。
實例5. 如實例1至4之一所述之方法,其中該第一UV曝光模組被配置為以1 mJ/cm2與100 mJ/cm2之間的UV光劑量全面曝光該襯底。
實例6. 如實例1至5之一所述之方法,進一步包括在該顯影之後用第三劑量的UV光全面曝光該襯底,該第三劑量大於80 mJ/cm2。
實例7.一種使用第一劑量的在UV光譜的極紫外(EUV)區域中的UV光在襯底上形成圖案之方法,該方法包括:將該襯底置於EUV光刻工具內,該襯底的曝光的外表面包括EUV抗蝕劑;將EUV抗蝕劑曝光於透過包括待轉移圖案的光學掩模的EUV光;用第二劑量的UV光全面曝光該襯底上的該EUV抗蝕劑;以及在該全面曝光後,顯影該EUV抗蝕劑以形成該待轉移的圖案。
實例8. 如實例7所述之方法,進一步包括在曝光於該EUV光後並且在全面曝光於該UV光之前,對該襯底進行曝光後烘烤。
實例9. 如實例7或8所述之方法,進一步包括:藉由僅使用EUV曝光而不使用任何中間的UV曝光來確定用於將參考EUV抗蝕劑圖案化到目標線寬的第一劑量的EUV光;以及藉由使用該EUV曝光和全面UV曝光來確定用於將該EUV抗蝕劑圖案化到該目標線寬的第二劑量的EUV光,該第二劑量比該第一劑量至少小20%,其中曝光該EUV抗蝕劑包括以該第二劑量曝光該EUV抗蝕劑。
實例10. 如實例7至9之一所述之方法,進一步包括選擇用於該全面曝光的全面UV曝光劑量,以使該第二劑量比該第一劑量至少小30%。
實例11. 如實例7至10之一所述之方法,進一步包括:在用EUV光曝光該襯底後,將該襯底裝載到顯影機軌道中,其中,在該顯影機軌道中進行該全面曝光和該顯影。
實例12. 如實例7至11之一所述之方法,其中該第二劑量的全面UV光具有130 nm至300 nm的波長並且該曝光劑量係1 mJ/cm2至100 mJ/cm2的。
實例13. 如實例7至12之一所述之方法,進一步包括:在該全面曝光與該顯影之間使該襯底進行曝光後烘烤。
實例14. 如實例7至13之一所述之方法,其中該EUV抗蝕劑為機金屬EUV抗蝕劑。
實例15. 如實例7至14之一所述之方法,進一步包括:在該顯影後,用曝光劑量大於80 mJ/cm2的第三劑量的UV光全面曝光該襯底。
實例16. 如實例7至15之一所述之方法,進一步包括:藉由僅使用EUV曝光而不使用任何中間的全面UV曝光來確定用於將參考EUV抗蝕劑圖案化到目標線寬的第一劑量的EUV光;將包括參考EUV抗蝕劑的參考襯底曝光於藉由該包括待轉移的圖案的光學掩模傳輸的該EUV光,並且在沒有任何中間的全面UV曝光的情況下顯影該曝光的參考EUV抗蝕劑,以形成該待轉移的圖案;進行定時顯影,其在未曝光於EUV光的區域中留下抗蝕劑層;在顯影該曝光的參考EUV抗蝕劑之後,在未用EUV光曝光的區域中測量該參考EUV抗蝕劑的剩餘第一抗蝕劑厚度;將每個測試襯底包括測試EUV抗蝕劑的多個測試襯底曝光於該藉由包括待轉移圖案的光學掩模傳輸的該EUV光,用不同UV劑量的UV光對每個該測試襯底上的該曝光的測試EUV抗蝕劑進行全面曝光;並且在每個該測試襯底上進行該定時顯影以形成該待轉移的圖案;在對每個測試襯底上的該曝光的測試EUV抗蝕劑進行該定時顯影之後,測量每個該測試襯底上用全面UV曝光並且未用EUV曝光的區域上的剩餘抗蝕劑厚度;以及選擇用於對該襯底上的曝光的EUV抗蝕劑進行全面曝光的UV光的劑量,該選擇的劑量對應於該襯底上用全面UV光曝光並且未用EUV曝光的區域上的該曝光的測試EUV抗蝕劑的厚度,該厚度比該第一抗蝕劑厚度至少薄25%。
實例17. 如實例7至16之一所述之方法,其中該選擇的劑量對應於該襯底上用全面UV光曝光並且未用EUV曝光的區域上的該曝光的測試EUV抗蝕劑的厚度,該厚度比該第一剩餘顯影後抗蝕劑厚度至少薄40%。
實例18. 如實例7至17之一所述之方法,進一步包括:用該選擇的劑量圖案化襯底並且充分顯影該圖案以從沒有EUV曝光的區域去除抗蝕劑;檢查該圖案是否有浮渣;重複調整所選擇的全面UV的劑量,在襯底上形成圖案,並且檢查該圖案是否有浮渣,直到確定使浮渣最小化的全面UV的劑量;以及為基線EUV圖案化製程選擇該調整的所選擇的劑量。
實例19. 如實例7至18之一所述之方法,顯影該曝光的EUV抗蝕劑包括使用包含氫和溴的氣體的乾法顯影製程。
實例20. 一種加工襯底之方法,該方法包括:將有機金屬光致抗蝕劑設置在該襯底上形成的待圖案化的層上,該有機金屬光致抗蝕劑包括附接在金屬氧化物顆粒上的烷基配位基;藉由從該待顯影的有機金屬光致抗蝕劑的部分中去除第一分數的該烷基配位基來形成光產物;冷凝該光產物以在該有機金屬光致抗蝕劑的該曝光部分中形成金屬氧化物網路;從該有機金屬光致抗蝕劑的曝光部分的金屬氧化物網路中和該有機金屬光致抗蝕劑的未曝光部分中去除第二分數的該烷基配位基;以及在去除該第二分數的該烷基配位基之後,顯影該有機金屬光致抗蝕劑以形成圖案化的有機金屬光致抗蝕劑。
實例21. 如實例20所述之方法形成光產物,其中形成該光產物包括將該有機金屬光致抗蝕劑藉由包括有待在該有機金屬光致抗蝕劑上形成的圖案的光學掩模曝光於第一劑量的UV光,該UV光具有在UV光譜的極紫外(EUV)區域中的第一波長,其中去除該第二分數的該烷基配位基包括將該曝光的和未曝光的有機金屬光致抗蝕劑曝光於第二UV輻射,其不使用該光學掩模並且具有第二波長,該第二波長係該第一波長的至少十倍。
實例22. 如實例20或21所述之方法,其中該第二UV輻射在集成到顯影機軌道中的UV光模組中進行。
實例23. 如實例20至22之一所述之方法,進一步包括該顯影後,用具有第二波長的第三UV劑量對該襯底全面曝光超過1分鐘並且劑量大於80 mJ/cm2。
實例24. 如實例20至23之一所述之方法,其中冷凝該光產物包括對該襯底進行曝光後烘烤製程。
實例25. 如實例20至24之一所述之方法,其中該曝光後烘烤製程包括將該襯底在空氣中加熱到50°C至250°C,持續1 min至3 min。
儘管已經參考說明性實施方式描述了本發明,但是此描述並非旨在以限制性的意義來解釋。參考描述,說明性實施方式以及本發明之其他實施方式的各種修改和組合對於熟悉該項技術者將是顯而易見的。因此,意圖係所附請求項涵蓋任何這樣的修改或實施方式。
10:襯底 11:待圖案化的層 15:中間層 20:EUV抗蝕劑 21:曝光部分 23:全面UV曝光 25:掩模 27:EUV光 30:圖案 33:第一UV曝光模組 35:浮渣 50:光刻機 60:塗布機/顯影機軌道 120:芯 122:配位基 124:活性位點 126:羥基 128:氫原子 130:氧原子 132:氧橋 134:目標CD 136:第一數據集 138:第二數據集 140:EUV抗蝕劑 142:EUV劑量 144:全面UV曝光 145:過渡區 146:EUV抗蝕劑幾何結構 148:顯影後CD 150:EUV抗蝕劑幾何結構 152:顯影後CD 154:第三數據集 192:第二點
為了更完整地理解本發明及其優點,現在參考結合附圖進行的以下描述,在附圖中:
[圖1A]係示出根據實施方式在極紫外EUV抗蝕劑中形成圖案之流程圖;
[圖1B - 1F]係半導體器件在不同製造階段以及[圖1A]流程圖中描繪的步驟中之截面圖;
[圖2A - 2C]和[圖3]示出了有機金屬EUV光致抗蝕劑在曝光於UV和EUV光期間發生的化學反應;
[圖4]係根據一實施方式,比較僅使用EUV曝光與使用EUV曝光加上全面UV曝光在有機金屬EUV抗蝕劑中形成的幾何結構的臨界尺寸(CD)之曲線圖;
[圖5]係根據一實施方式的EUV抗蝕劑層之截面圖,其中EUV劑量之曲線圖以及EUV劑量和全面UV劑量之曲線圖疊加在一起;
[圖6]係根據一實施方式的僅用EUV劑量形成的EUV抗蝕劑幾何結構與用EUV劑量和全面UV劑量形成的EUV抗蝕劑幾何結構之顯影後截面圖;
[圖7]係根據一實施方式,比較在EUV和全面UV曝光後,使用濕法顯影製程與使用乾法顯影製程在有機金屬EUV抗蝕劑中形成的幾何結構的臨界尺寸(CD)之曲線圖;
[圖8]係示出根據一實施方式選擇用於提高EUV光刻機中的生產量的EUV劑量加全面UV劑量之方法中的主要步驟之流程圖;
[圖9]係示出根據一實施方式的定時顯影後剩餘的EUV抗蝕劑厚度與UV劑量的關係之曲線圖;並且
[圖10]係示出根據一實施方式的用於選擇改善EUV圖案中浮渣的全面UV劑量之方法中的主要步驟之流程圖。

Claims (25)

  1. 一種方法,包括: 將具有抗蝕劑的襯底裝載到顯影機軌道上,該抗蝕劑包括用第一劑量的在UV光譜的極紫外(EUV)輻射區域中的UV光曝光的圖案; 在第一UV曝光模組中用第二劑量的紫外光輻射全面曝光該襯底;以及 在該全面曝光後,顯影該圖案。
  2. 如請求項1所述之方法,進一步包括在該全面曝光之前進行曝光後烘烤,該曝光後烘烤被配置為將該襯底在空氣或氮氣環境中在50°C至250°C溫度條件下烘烤1至3分鐘。
  3. 如請求項1所述之方法,進一步包括在該全面曝光後並且在該顯影之前進行曝光後烘烤,該曝光後烘烤被配置為將該襯底在空氣或氮氣環境中在50°C至250°C溫度條件下烘烤1至3分鐘。
  4. 如請求項1所述之方法,其中,該第一UV曝光模組中的光的波長範圍從130 nm至300 nm。
  5. 如請求項1所述之方法,其中,該第一UV曝光模組被配置為以1 mJ/cm 2與100 mJ/cm 2之間的劑量的UV光全面曝光該襯底。
  6. 如請求項1所述之方法,進一步包括在該顯影之後用第三劑量的UV光全面曝光該襯底,該第三劑量大於80 mJ/cm 2
  7. 一種用第一劑量的在UV光譜的極紫外(EUV)區域中的UV光在襯底上形成圖案之方法,該方法包括: 將該襯底置於EUV光刻工具內,該襯底的曝光的外表面包括EUV抗蝕劑; 將該EUV抗蝕劑曝光於藉由包括待轉移圖案的光學掩模傳輸的EUV光; 用第二劑量的UV光全面曝光該襯底上的該EUV抗蝕劑;以及 在該全面曝光後,顯影該EUV抗蝕劑以形成該待轉移的圖案。
  8. 如請求項7所述之方法,進一步包括在曝光於該EUV光之後並且在全面曝光於該UV光之前,對該襯底進行曝光後烘烤。
  9. 如請求項7所述之方法,進一步包括: 藉由僅使用EUV曝光而不使用任何中間的UV曝光來確定用於將參考EUV抗蝕劑圖案化到目標線寬的第一劑量的EUV光;以及 藉由使用該EUV曝光和全面UV曝光來確定用於將該EUV抗蝕劑圖案化到該目標線寬的第二劑量的EUV光,該第二劑量比該第一劑量至少小20%, 其中,曝光該EUV抗蝕劑包括以該第二劑量曝光該EUV抗蝕劑。
  10. 如請求項9所述之方法,進一步包括選擇用於該全面曝光的全面UV曝光劑量,以使該第二劑量比該第一劑量至少小30%。
  11. 如請求項7所述之方法,進一步包括: 在用EUV光曝光該襯底後,將該襯底裝載到顯影機軌道中,其中,在該顯影機軌道中進行該全面曝光和該顯影。
  12. 如請求項7所述之方法,其中,該第二劑量的全面UV光具有130 nm至300 nm的波長並且該曝光劑量係1 mJ/cm 2至100 mJ/cm 2的。
  13. 如請求項7所述之方法,進一步包括: 在該全面曝光與該顯影之間使該襯底進行曝光後烘烤。
  14. 如請求項7所述之方法,其中,該EUV抗蝕劑為有機金屬EUV抗蝕劑。
  15. 如請求項7所述之方法,進一步包括: 在該顯影後,用曝光劑量大於80 mJ/cm 2的第三劑量的UV光全面曝光該襯底。
  16. 如請求項7所述之方法,進一步包括: 藉由僅使用EUV曝光而不使用任何中間的全面UV曝光來確定用於將參考EUV抗蝕劑圖案化到目標線寬的第一劑量的EUV光; 將包括參考EUV抗蝕劑的參考襯底曝光於藉由該包括待轉移的圖案的光學掩模傳輸的該EUV光,並且在沒有任何中間的全面UV曝光的情況下顯影該曝光的參考EUV抗蝕劑,以形成該待轉移的圖案; 進行定時顯影,其在未曝光於EUV光的區域中留下抗蝕劑層; 在顯影該曝光的參考EUV抗蝕劑之後,在未用EUV光曝光的區域中測量該參考EUV抗蝕劑的剩餘第一抗蝕劑厚度; 將每個測試襯底皆包括測試EUV抗蝕劑的多個測試襯底曝光於該藉由包括待轉移圖案的光學掩模傳輸的該EUV光,用不同UV劑量的UV光對每個該測試襯底上的該曝光的測試EUV抗蝕劑進行全面曝光;並且在每個該測試襯底上進行該定時顯影以形成該待轉移的圖案; 在對每個測試襯底上的該曝光的測試EUV抗蝕劑進行該定時顯影之後,測量每個該測試襯底上用全面UV曝光並且未用EUV曝光的區域上的剩餘抗蝕劑厚度;以及 選擇用於對該襯底上的曝光的EUV抗蝕劑進行全面曝光的UV光的劑量,該選擇的劑量對應於該襯底上用全面UV光曝光並且未用EUV曝光的區域上的該曝光的測試EUV抗蝕劑的厚度,該厚度比該第一抗蝕劑厚度至少薄25%。
  17. 如請求項16所述之方法,其中,該選擇的劑量對應於該襯底上用全面UV光曝光並且未用EUV曝光的區域上的該曝光的測試EUV抗蝕劑的厚度,該厚度比該第一剩餘顯影後抗蝕劑厚度至少薄40%。
  18. 如請求項16所述之方法,進一步包括: 用該選擇的劑量圖案化襯底並且充分顯影該圖案以從沒有EUV曝光的區域去除抗蝕劑; 檢查該圖案是否有浮渣; 重複調整所選擇的全面UV的劑量,在襯底上形成圖案,並且檢查該圖案是否有浮渣,直到確定使浮渣最小化的全面UV的劑量;以及 為基線EUV圖案化製程選擇該調整的所選擇的劑量。
  19. 如請求項7所述之方法,其中,顯影該曝光的EUV抗蝕劑包括使用包含氫和溴的氣體的乾法顯影製程。
  20. 一種加工襯底之方法,該方法包括: 將有機金屬光致抗蝕劑設置在該襯底上形成的待圖案化的層上,該有機金屬光致抗蝕劑包括附接在金屬氧化物顆粒上的烷基配位基; 藉由從該待顯影的有機金屬光致抗蝕劑的部分中去除第一分數的該烷基配位基來形成光產物; 冷凝該光產物以在該有機金屬光致抗蝕劑的該曝光部分中形成金屬氧化物網路; 從該有機金屬光致抗蝕劑的曝光部分的金屬氧化物網路中和該有機金屬光致抗蝕劑的未曝光部分中去除第二分數的該烷基配位基;以及 在去除該第二分數的該烷基配位基之後,顯影該有機金屬光致抗蝕劑以形成圖案化的有機金屬光致抗蝕劑。
  21. 如請求項20所述之方法,其中,形成該光產物包括將該有機金屬光致抗蝕劑藉由包括有待在該有機金屬光致抗蝕劑上形成的圖案的光學掩模曝光於第一劑量的UV光,該UV光具有在UV光譜的極紫外(EUV)區域中的第一波長,其中去除該第二分數的該烷基配位基包括將該曝光的和未曝光的有機金屬光致抗蝕劑曝光於第二UV輻射,其不使用該光學掩模並且具有第二波長,該第二波長係該第一波的至少十倍。
  22. 如請求項21所述之方法,其中,該第二UV輻射在集成到顯影機軌道中的UV光模組中進行。
  23. 如請求項20所述之方法,進一步包括該顯影後,用具有第二波長的第三UV劑量對該襯底全面曝光超過1分鐘並且劑量大於80 mJ/cm 2
  24. 如請求項20所述之方法,其中,冷凝該光產物包括對該襯底進行曝光後烘烤製程。
  25. 如請求項24所述之方法,其中,該曝光後烘烤製程包括將該襯底在空氣中加熱到50°C至250°C,持續1 min至3 min。
TW111141980A 2021-11-17 2022-11-03 Euv抗蝕劑的uv處理 TW202323993A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/455,365 US20230152705A1 (en) 2021-11-17 2021-11-17 UV Treatment of EUV Resists
US17/455,365 2021-11-17

Publications (1)

Publication Number Publication Date
TW202323993A true TW202323993A (zh) 2023-06-16

Family

ID=86324565

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111141980A TW202323993A (zh) 2021-11-17 2022-11-03 Euv抗蝕劑的uv處理

Country Status (4)

Country Link
US (1) US20230152705A1 (zh)
JP (1) JP2023074494A (zh)
KR (1) KR20230072442A (zh)
TW (1) TW202323993A (zh)

Also Published As

Publication number Publication date
KR20230072442A (ko) 2023-05-24
JP2023074494A (ja) 2023-05-29
US20230152705A1 (en) 2023-05-18

Similar Documents

Publication Publication Date Title
JP5247440B2 (ja) エッチングマスクスタックを用いたマルチマスクプロセス
US7566525B2 (en) Method for forming an anti-etching shielding layer of resist patterns in semiconductor fabrication
TWI483079B (zh) Pattern formation method
TWI261880B (en) Photolithography method to prevent photoresist pattern collapse
JPH0777809A (ja) シリレーションを利用したパターン形成方法
JP3003657B2 (ja) 半導体装置の製造方法
JPH06318541A (ja) パターンの形成方法
US20230185196A1 (en) Pre-exposure photoresist curing to enhance euv lithographic performance
CN1527359A (zh) 精细图形的形成方法
CN100483261C (zh) 光刻图形的形成方法
JP2003133295A (ja) フォトレジストパターンをマスクに利用するエッチング方法
KR100415091B1 (ko) 미세패턴 형성 방법
TW202323993A (zh) Euv抗蝕劑的uv處理
US20090123878A1 (en) Patterning method
US7262138B1 (en) Organic BARC with adjustable etch rate
JP2010118501A (ja) 半導体装置の製造方法
Kondo et al. The optimizations of resist shrink process using track-based technology
Chih-Fang Liu Resist and Process Pattern Variations in Advanced Node Semiconductor Device Fabrication
TW202326812A (zh) Euv光阻的混合式顯影
JPH02156244A (ja) パターン形成方法
KR100418121B1 (ko) 반도체소자의 제조방법
TW202407456A (zh) 形成阻劑圖案的方法
TW202417972A (zh) 使用二次光阻表面功能化進行遮罩形成的圖案化方法
JP2004078119A (ja) 半導体装置の製造方法
Timko et al. Linewidth reduction using liquid ashing for sub-100 nm critical dimensions with 248 nm lithography