TW202320176A - 積體電路 - Google Patents

積體電路 Download PDF

Info

Publication number
TW202320176A
TW202320176A TW111129455A TW111129455A TW202320176A TW 202320176 A TW202320176 A TW 202320176A TW 111129455 A TW111129455 A TW 111129455A TW 111129455 A TW111129455 A TW 111129455A TW 202320176 A TW202320176 A TW 202320176A
Authority
TW
Taiwan
Prior art keywords
conductor
power rail
conductor line
vertical distance
end conductor
Prior art date
Application number
TW111129455A
Other languages
English (en)
Inventor
賴知佑
陳志良
盧麒友
邱上軒
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202320176A publication Critical patent/TW202320176A/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Power Engineering (AREA)
  • Geometry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種積體電路包括在一源極/汲極區處與一第一作用區結構相交的一第一導體區段及在一源極/汲極區處與一第二作用區結構相交的一第二導體區段。該第一導體區段及該第二導體區段在近側邊緣處以一第一分離距離分開。該第一導體具有與一第一電力軌分開的一遠側邊緣,且該第二導體區段經由一通孔連接件連接至一第二電力軌。自該第一電力軌至該第一導體區段的一近側邊緣的一距離比自該第二電力軌至該第二導體區段的一近側邊緣的一距離大一預定距離,該預定距離係該分離距離的一部分。

Description

電晶體的源極或汲極導體的排列
無。
使積體電路(integrated circuit,IC)小型化的最近趨勢已導致消耗更少功率卻以更高速度提供更多功能性的更小裝置。小型化過程亦已導致更嚴格的設計及製造規範以及可靠性挑戰。各種電子設計自動化(electronic design automation,EDA)工具產生、最佳化且驗證積體電路的標準單元佈局設計,同時確保滿足標準單元佈局設計及製造規範。
無。
以下揭示內容提供了用於實施所提供主題之不同特徵的許多不同實施例或實例。以下描述了組件、值、操作、材料、排列等的特定實例以簡化本案。當然,這些僅為實例,且不意欲具有限制性。想到了其他組件、值、操作、材料、排列等。例如,以下描述中在第二特徵之上或上形成第一特徵可包括其中第一特徵及第二特徵形成為直接接觸的實施例,且亦可包括其中額外特徵可形成於第一特徵與第二特徵之間以使得第一特徵及第二特徵可能不直接接觸的實施例。另外,本案可在各種實例中重複參考數字及/或字母。此重複係為了簡單及清楚的目的,且本身並不表示所論述的各種實施例及/或組態之間的關係。
此外,為便於描述,本文中可使用諸如「下方」、「之下」、「下部」、「上方」、「上部」等等空間相對術語來描述一個元件或特徵與另一(些)元件或特徵的關係,如圖中所示出。除圖中所描繪的定向之外,空間相對術語還意欲涵蓋裝置在使用或操作中的不同定向。可以其他方式來定向設備(旋轉90度或以其他定向),並且同樣地可相應地解釋本文所使用的空間相對描述詞。
在一些實施例中,積體電路包括用以接收第一供應電壓(例如VDD)的第一電力軌及用以接收第二供應電壓(例如VSS)的第二電力軌。積體電路亦包括在第一類型電晶體(例如P型金氧半導體(p-type metal oxide semiconductor,PMOS))的源極/汲極區處與第一作用區結構相交的第一導體區段及在第二類型電晶體(例如N型金氧半導體(n-type metal oxide semiconductor,NMOS))的源極/汲極區處與第二作用區結構相交的第二導體區段。第一導體區段及第二導體區段係藉由用蝕刻製程移除端導體的曝露部分形成。端導體的曝露部分在佈局設計中位於如端導體切口圖案所界定的遮罩開口內。第一導體區段的最靠近第二導體區段的邊緣及第二導體區段的最靠近第一導體區段的邊緣被稱為第一導體區段及第二導體區段的近側邊緣。第一導體區段及第二導體區段在近側邊緣處以分離距離分開,該分離距離由遮罩開口的高度界定。
自第一導體區段的近側邊緣至第一電力軌的中心線的第一距離不同於自第二導體區段的近側邊緣至第二電力軌的中心線的第二距離。在一些實施例中,當第一距離不同於第二距離達預定距離,該預定距離係兩個近側邊緣之間的分離距離的一部分時,一或多個導體區段的長度與其中第一距離等於第二距離的替代設計相比有所減小。在一些實施例中,減小一或多個導體區段的長度導致更小的雜散電容耦合及/或更小的RC延遲。在一些實施例中,減小一或多個導體區段的長度導致具有所述的兩個導體區段的電路單元的更小高度。
第1A圖至第1B圖係根據一些實施例的與或反相器(And-Or-Inverter)單元100 (「AOI單元100」)的佈局圖。第1A圖的佈局圖包括用於指明閘極導體(gB2、gB1、gA1及gA2)、虛設閘極導體(151及159)及端導體線(132、134、135、136及138)的在Y方向上延伸的佈局圖案。第1A圖的佈局圖亦包括用於指明作用區結構(80p及80n)、電力軌(42及44)及水平導電線(122、124A、124B、124C、126A及126B)的在X方向上延伸的佈局圖案。
AOI單元100位於由單元邊界定界的單元中。沿著X方向的單元寬度由在Y方向上延伸的兩個垂直單元邊界111及119定界,且沿著Y方向的單元高度由在X方向上延伸的兩個水平單元邊界112及118定界。在一些實施例中,垂直單元邊界111及119對應地與虛設閘極導體151及159對準,而水平單元邊界112及118對應地與電力軌42的中心線42C及電力軌44的中心線44C對準。
在第1A圖至第1B圖中,用於指明端導體線的佈局圖案由用於指明端導體線的切口的佈局圖案(「端導體線切口圖案」)中的一或多者截斷。端導體線切口圖案(162U、162M、164M、164D、165U、165M、165D、166U、166D、168U及168M)與用於指明端導體線(132、134、135、136及138)的佈局圖案的組合提供針對對應的端導體線的導體區段的指明。第1A圖至第1B圖中的佈局圖案組合所指明的導體區段包括導體區段132p、132n、134p、134n、135p、135n、136p、138p及138n。在一些實施例中,導體區段中的每一者被稱為MD導體。在一些實施例中,MD導體製造於擴散層上的金屬中,且用於與平面電晶體連接的MD導體通常包括與平面電晶體的源極擴散區或汲極擴散區形成歐姆接觸的金屬導體。在一些實施例中,用於與鰭式場效電晶體(FinFET)連接的MD導體通常包括與FinFET的源極磊晶區或汲極磊晶區形成歐姆接觸的金屬導體。在第1B圖中更詳細地描繪用於指明導體區段的佈局圖案的組合。
在第1B圖中,用於端導體線132的佈局圖案由端導體線切口圖案162U及162M截斷,其指明端導體線132分成導體區段132p及132n。導體區段132p的近側邊緣132pA及導體區段132n的近側邊緣132nA由端導體線切口圖案162M的兩個水平邊緣界定。導體區段132p的遠側邊緣132pB由端導體線切口圖案162U的水平邊緣界定。
在第1B圖中,用於端導體線134的佈局圖案由端導體線切口圖案164M及164D截斷,其指明端導體線134分成導體區段134p及134n。導體區段134p的近側邊緣134pA及導體區段134n的近側邊緣134nA由端導體線切口圖案164M的兩個水平邊緣界定。導體區段134n的遠側邊緣134nB由端導體線切口圖案164D的水平邊緣界定。
在第1B圖中,用於端導體線135的佈局圖案由端導體線切口圖案165U、165M及165D截斷,其指明端導體線135分成導體區段135p及135n。導體區段135p的近側邊緣135pA及導體區段135n的近側邊緣135nA由端導體線切口圖案165M的兩個水平邊緣界定。導體區段135p的遠側邊緣135pB由端導體線切口圖案165U的水平邊緣界定。導體區段135n的遠側邊緣135nB由端導體線切口圖案165D的水平邊緣界定。
在第1B圖中,用於端導體線136的佈局圖案由端導體線切口圖案166U及166D截斷,其指明端導體線136在兩端處被切割且縮短成導體區段136p。導體區段136p的第一邊緣由端導體線切口圖案166U的水平邊緣界定。導體區段136p的第二邊緣由端導體線切口圖案166D的水平邊緣界定。
在第1B圖中,用於端導體線138的佈局圖案由端導體線切口圖案168U及168M截斷,其指明端導體線138分成導體區段138p及138n。導體區段138p的近側邊緣138pA及導體區段138n的近側邊緣138nA由端導體線切口圖案168M的兩個水平邊緣界定。導體區段138p的遠側邊緣138pB由端導體線切口圖案168U的水平邊緣界定。
第1C圖係根據一些實施例的如第1A圖至第1B圖中的佈局圖所指明的AOI單元100的等效電路。第2A圖至第2C圖及第3A圖至第3E圖係根據一些實施例的如第1A圖至第1B圖中的佈局圖所指明的AOI單元100的橫截面視圖。
在如第1A圖的佈局圖所指明且如第1C圖的等效電路中所示的AOI單元100中,閘極導體gB2、gB1、gA1及gA2中的每一者在p型電晶體pB2、pB1、pA1及pA2的通道區處與作用區結構80p相交,從而形成對應的p型電晶體的閘極端子。閘極導體gB2、gB1、gA1及gA2中的每一者在n型電晶體nB2、nB1、nA1及nA2的通道區處與作用區結構80n相交,從而形成對應的n型電晶體的閘極端子。導體區段132p、134p、135p、136p及138p在p型電晶體pB2、pB1、pA1及pA2的各個源極/汲極區處與作用區結構80p相交,從而形成p型電晶體的對應的源極/汲極端子。導體區段132n、134n、135n及138n在n型電晶體nB2、nB1、nA1及nA2的各個源極/汲極區處與作用區結構80n相交,從而形成n型電晶體的對應的源極/汲極端子。在第1A圖中,在作用區結構80n及導體區段136p的相交處,浮動端導體佈局圖案196指明導體區段136p不與作用區結構80n中的源極/汲極區直接形成導電接觸。在一些實施例中,浮動端導體佈局圖案被稱為飛行MD佈局圖案或flyMD圖案。
在一些實施例中,當作用區結構80p及80n由鰭結構形成時,p型電晶體(pB2、pB1、pA1及pA2)及n型電晶體(nB2、nB1、nA1及nA2)係FinFET。在一些實施例中,當作用區結構80p及80n由奈米片結構形成時,p型電晶體(pB2、pB1、pA1及pA2)及n型電晶體(nB2、nB1、nA1及nA2)係奈米片電晶體。在一些實施例中,當作用區結構80p及80n由奈米線結構形成時,p型電晶體(pB2、pB1、pA1及pA2)及n型電晶體(nB2、nB1、nA1及nA2)係奈米線電晶體。
在第1A圖中,用於在AOI單元100的垂直單元邊界處的虛設閘極導體151及159的佈局圖案指明AOI單元100中的作用區(諸如源極區、汲極區及通道區)與相鄰單元中的作用區隔離。
在如第1A圖的佈局圖所指明且如第1C圖的等效電路中所示的AOI單元100中,水平導電線(122、124A、124B、124C、126A及126B)及電力軌(42及44)定位在第一金屬層M0中。導體區段134p經由用以提供第一供應電壓VDD的通孔連接件VD2導電地連接至電力軌42。導體區段132n及138n中的每一者經由用以提供第二供應電壓VSS的通孔連接件VD2導電地連接至電力軌44。水平導電線126A、124A、124B及124C中的每一者經由閘極通孔連接件VG對應地連接至閘極導體gB2、gB1、gA1及gA2中的一者。水平導電線126B經由通孔連接件VD導電地連接至導體區段136p及135n中的每一者。水平導電線122經由通孔連接件VD導電地連接至導體區段132p、135p及138p中的每一者。
水平導電線124A、124B、124C、126A及126B中的每一者充當接腳連接件。水平導電線126A、124A、124B及124C係對應地用於AOI單元100的輸入信號「B2」、「B1」、「A1」及「A2」的接腳連接件。水平導電線126B係用於AOI單元100的輸出信號「ZN」的接腳連接件。
第2A圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元100在切割平面P-P’中的橫截面視圖。如第2A圖中所示,作用區結構80p在基板20上。閘極導體gB2、gB1、gA1及gA2中的每一者在p型電晶體pB2、pB1、pA1及pA2的通道區中的一者處與作用區結構80p相交。導體區段132p、134p、135p、136p及138p中的每一者在p型電晶體pB2、pB1、pA1及pA2的源極/汲極區中的一者處與作用區結構80p相交。在一些實施例中,作用區結構80p中的作用區(諸如源極區、通道區或汲極區)藉由虛設閘極導體151下方的邊界隔離區151i及虛設閘極導體159下方的邊界隔離區159i與相鄰單元中的作用區隔離。水平導電線122經由對應的通孔連接件VD導電地連接至導體區段132p、135p及138p中的每一者。
第2B圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元100在切割平面Q-Q’中的橫截面視圖。在第2B圖中,閘極導體gB2、gB1、gA1及gA2、虛設閘極導體151及159以及導體區段136p全部在基板20上。水平導電線124A、124B及124C經由通孔連接件VG對應地連接至閘極導體gB1、gA1及gA2。
第2C圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元100在切割平面N-N’中的橫截面視圖。如第2C圖中所示,作用區結構80n在基板20上。閘極導體gB2、gB1、gA1及gA2中的每一者在n型電晶體nB2、nB1、nA1及nA2的通道區中的一者處與作用區結構80n相交。導體區段132n、134n、135n及138n中的每一者在n型電晶體nB2、nB1、nA1及nA2的源極/汲極區中的一者處與作用區結構80n相交。然而,因為絕緣結構136i沉積在導體區段136p與作用區結構80n之間,所以導體區段136p不與作用區結構80n中的n型電晶體nA1或nA2的源極/汲極區進行直接導電接觸。絕緣結構136i係如第1A圖中的浮動端導體佈局圖案196所指明的所需絕緣的具體實施。在如第2C圖中所示的實施例中,作用區結構80n中的作用區(諸如源極區、通道區或汲極區)藉由虛設閘極導體151下方的邊界隔離區151i及虛設閘極導體159下方的邊界隔離區159i與相鄰單元中的作用區隔離。水平導電線126A經由通孔連接件VG導電地連接至閘極導體gB2。水平導電線126B經由對應的通孔連接件VD導電地連接至導體區段135n及136p中的每一者。
第3A圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元100在切割平面A-A’中的橫截面視圖。在第3A圖中,導體區段132p與基板20上的作用區結構80p相交,且導體區段132n與基板20上的作用區結構80n相交。絕緣層22覆蓋導體區段132n及132p。電力軌(42及44)以及水平導電線122、124A及126A在上覆於絕緣層22的第一金屬層中。水平導電線122經由穿過絕緣層22的通孔連接件VD連接至導體區段132p。電力軌44經由穿過絕緣層22的通孔連接件VD2 (識別為通孔連接件172)連接至導體區段132n。導體區段132p的近側邊緣132pA及導體區段132n的近側邊緣132nA以沿著Y方向的分離距離S2aa分開。沿著Y方向自電力軌42的中心線42C至導體區段132p的近側邊緣132pA的垂直距離S2au大於沿著Y方向自電力軌44的中心線44C至導體區段132n的近側邊緣132nA的垂直距離S2ad。沿著Y方向自電力軌42的中心線42C至導體區段132p的遠側邊緣132pB的垂直距離S2bu等於導體區段132p及132n的近側邊緣之間的分離距離S2aa。
第3B圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元100在切割平面B-B’中的橫截面視圖。在第3B圖中,導體區段134p與基板20上的作用區結構80p相交,且導體區段134n與基板20上的作用區結構80n相交。絕緣層22覆蓋導體區段134n及134p。電力軌(42及44)以及水平導電線122及124A在上覆於絕緣層22的第一金屬層中。電力軌42經由穿過絕緣層22的通孔連接件VD2 (識別為通孔連接件174)連接至導體區段134p。導體區段134p的近側邊緣134pA及導體區段134n的近側邊緣134nA以沿著Y方向的分離距離S4aa分開。沿著Y方向自電力軌42的中心線42C至導體區段134p的近側邊緣134pA的垂直距離S4au小於沿著Y方向自電力軌44的中心線44C至導體區段134n的近側邊緣134nA的垂直距離S4ad。沿著Y方向自電力軌44的中心線44C至導體區段134n的遠側邊緣134nB的垂直距離S4bd等於導體區段134p及134n的近側邊緣之間的分離距離S4aa。
第3C圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元100在切割平面C-C’中的橫截面視圖。在第3C圖中,導體區段135p與基板20上的作用區結構80p相交,且導體區段135n與基板20上的作用區結構80n相交。絕緣層22覆蓋導體區段135n及135p。電力軌(42及44)以及水平導電線122及126B在上覆於絕緣層22的第一金屬層中。水平導電線122經由導體區段135p上方的通孔連接件VD連接至導體區段135p,且水平導電線126B經由導體區段135n上方的通孔連接件VD連接至導體區段135n。導體區段135p的近側邊緣135pA及導體區段135n的近側邊緣135nA以沿著Y方向的分離距離S5aa分開。沿著Y方向自電力軌42的中心線42C至導體區段135p的近側邊緣135pA的垂直距離S5au等於沿著Y方向自電力軌44的中心線44C至導體區段135n的近側邊緣135nA的垂直距離S5ad。沿著Y方向自電力軌42的中心線42C至導體區段135p的遠側邊緣135pB的垂直距離S5bu等於導體區段135p及135n的近側邊緣之間的分離距離S5aa。沿著Y方向自電力軌44的中心線44C至導體區段135n的遠側邊緣135nB的垂直距離S5bd亦等於分離距離S5aa。
第3D圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元100在切割平面D-D’中的橫截面視圖。在第3D圖中,導體區段136p與基板20上的作用區結構80p相交。即使導體區段136p在作用區結構80n之上延伸,但由於導體區段136p與作用區結構80n之間的絕緣結構136i,導體區段136p仍然不與作用區結構80n中的源極/汲極區直接形成導電接觸。電力軌(42及44)以及水平導電線122及126B在上覆於絕緣層22的第一金屬層中。水平導電線126B經由通孔連接件VD導電地連接至導體區段136p。垂直距離S6bu係沿著Y方向自電力軌42的中心線42C至導體區段136p的第一邊緣的距離,且垂直距離S6bd係沿著Y方向自電力軌44的中心線44C至導體區段136p的第二邊緣的距離。
第3E圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元100在切割平面E-E’中的橫截面視圖。在第3E圖中,導體區段138p與基板20上的作用區結構80p相交,且導體區段138n與基板20上的作用區結構80n相交。絕緣層22覆蓋導體區段138n及138p。電力軌(42及44)以及水平導電線122及126B在上覆於絕緣層22的第一金屬層中。水平導電線122經由穿過絕緣層22的通孔連接件VD連接至導體區段138p。電力軌44經由穿過絕緣層22的通孔連接件VD2 (識別為通孔連接件178)連接至導體區段138n。導體區段138p的近側邊緣138pA及導體區段138n的近側邊緣138nA以沿著Y方向的分離距離S8aa分開。沿著Y方向自電力軌42的中心線42C至導體區段138p的近側邊緣138pA的垂直距離S8au大於沿著Y方向自電力軌44的中心線44C至導體區段138n的近側邊緣138nA的垂直距離S8ad。沿著Y方向自電力軌42的中心線42C至導體區段138p的遠側邊緣138pB的垂直距離S8bu等於導體區段138p及138n的近側邊緣之間的分離距離S8aa。
在第3A圖至第3E圖中,兩個導體區段的近側邊緣之間的分離距離S2aa、S4aa、S5aa及S8aa由如第1B圖中所示的對應的端導體線切口圖案162M、164M、165M或168M的高度(沿著Y方向)確定。如第1B圖中所示的端導體線切口圖案162M、164M、165M及168M中的每一者的高度為基本高度單位H的1.2倍(即1.2H)。在一些實施例中,不一定端導體線切口圖案的高度全部完全相同,為1.2H,而是端導體線切口圖案162M、164M、165M及168M的高度在1.15H至1.25H的範圍內。因此,分離距離S2aa、S4aa、S5aa及S8aa中的每一者在1.15H至1.25H的範圍內。在一些實施例中,端導體線切口圖案的高度超過1.2H。在一些實施例中,每個端導體線切口圖案的高度被選擇為盡可能大而不違反設計規則。在一些實施例中,每個端導體線切口圖案的高度越大,大多數導體區段的長度越短,且導體區段的減小的長度導致更小的雜散電容耦合及/或更小的RC延遲,這改良了積體電路的速度效能。
在第1B圖中,端導體線切口圖案165M沿著Y方向定位在介於電力軌42的中心線42C與電力軌44的中心線44C之間的中間位置處。因此,如第3C圖中所示,沿著Y方向自中心線42C至導體區段135p的近側邊緣135pA的垂直距離S5au等於沿著Y方向自中心線44C至導體區段135n的近側邊緣135nA的垂直距離S5ad。在第1B圖中,在端導體線切口圖案165U及165D中的每一者具有為1.2H的高度時,端導體線切口圖案165U的位置沿著Y方向被調整以保持導體區段135p的長度在1.0H至1.4H的範圍內,且端導體線切口圖案165D的位置沿著Y方向被調整以保持導體區段135n的長度亦在1.0H至1.4H的範圍內。在一些實施例中,兩個導體區段(135p及135n)中的至少一者的長度係由低於1.0H或高於1.4H的值實施。在一些實施例中,兩個導體區段(135p及135n)中的一者的長度係由盡可能小而不違反設計規則的值實施。在一些實施例中,兩個導體區段(135p及135n)中的一者的長度係由盡可能大而不違反設計規則的值實施。在一些實施例中,縮短導體區段的長度導致更小的雜散電容耦合及/或更小的RC延遲,這改良了積體電路的速度效能。如第1B圖及第3C圖中所示,導體區段135p的長度係自導體區段135p的近側邊緣135pA至遠側邊緣135pB量測,且導體區段135n的長度係自導體區段135n近側邊緣135nA至遠側邊緣135nB量測。
在第3A圖中,沿著Y方向自電力軌42的中心線42C至導體區段132p的遠側邊緣132pB的垂直距離S2bu由第1B圖中的端導體線切口圖案162U的高度(沿著Y方向)確定。當端導體線切口圖案162U的高度等於端導體線切口圖案162M的高度時,垂直距離S2bu等於導體區段132p及132n的近側邊緣之間的分離距離S2aa。在第1B圖中,端導體線切口圖案162M沿著Y方向的位置自介於電力軌42的中心線42C與電力軌44的中心線44C之間的中間位置向下偏移。若端導體線切口圖案162M的位置自中間位置向下偏移達等於Δ的垂直距離,則自中心線42C至導體區段132p的近側邊緣132pA的垂直距離S2au比自中心線44C至導體區段132n的近側邊緣132nA的垂直距離S2ad大等於2Δ的垂直距離。在第1B圖中,端導體線切口圖案162U及162M中的每一者具有為1.2H的高度,且端導體線切口圖案162M的位置自中間位置向下偏移,藉此將導體區段132p的長度實施為在1.0H至1.4H的範圍內。在一些實施例中,端導體線切口圖案162M的位置自中間位置向下偏移達在0.1H至0.2H的範圍內的垂直距離。對應地,垂直距離S2au比垂直距離S2ad大等於在0.2H至0.4H的範圍內的垂直距離。
在一些實施例中,端導體線切口圖案162M的位置自中間位置向下偏移達最小量以防止違反與端導體線切口圖案162U的任何寬度增大相關聯的設計規則,同時使導體區段132p的長度最小化以減小相關聯的雜散電容耦合。在一些實施例中,端導體線切口圖案162M的位置自中間位置盡可能向下偏移而不導致違反設計規則,同時使導體區段132n的長度最小化以減小相關聯的雜散電容耦合。
在第3B圖中,沿著Y方向自電力軌44的中心線44C至導體區段134n的遠側邊緣134nB的垂直距離S4bd由第1B圖中的端導體線切口圖案164D的高度(沿著Y方向)確定。當端導體線切口圖案164D的高度等於端導體線切口圖案164M的高度時,垂直距離S4bd等於導體區段134p及134n的近側邊緣之間的分離距離S4aa。在第1B圖中,端導體線切口圖案164D及164M中的每一者具有為1.2H的高度,且端導體線切口圖案165M沿著Y方向的位置自介於電力軌42的中心線42C與電力軌44的中心線44C之間的中間位置向上偏移,藉此將導體區段134n的長度實施為在1.0H至1.4H的範圍內。在一些實施例中,端導體線切口圖案164M的位置自中間位置向上偏移達在0.1H至0.2H的範圍內的垂直距離。對應地,自中心線42C至導體區段134p的近側邊緣134pA的垂直距離S4au比自中心線44C至導體區段134n的近側邊緣134nA的垂直距離S4ad小等於在0.2H至0.4H的範圍內的垂直距離。
在一些實施例中,端導體線切口圖案164M的位置自中間位置向上偏移達最小量以防止違反與端導體線切口圖案164D的任何寬度增大相關聯的設計規則,同時使導體區段134n的長度最小化以減小相關聯的雜散電容耦合。在一些實施例中,端導體線切口圖案162M的位置自中間位置盡可能向上偏移而不導致違反設計規則,同時使導體區段134p的長度最小化以減小相關聯的雜散電容耦合。
在第3D圖中,沿著Y方向自電力軌42的中心線42C至導體區段136p的第一邊緣的垂直距離S6bu由第1B圖中的端導體線切口圖案166U的高度(沿著Y方向)確定,且沿著Y方向自電力軌44的中心線44C至導體區段136p的第二邊緣的垂直距離S6bd由端導體線切口圖案166D的高度(沿著Y方向)確定。當端導體線切口圖案166U的高度等於端導體線切口圖案166D的高度時,垂直距離S6bu等於垂直距離S6bd。
在第3E圖中,沿著Y方向自電力軌42的中心線42C至導體區段138p的遠側邊緣138pB的垂直距離S8bu由第1B圖中的端導體線切口圖案168U的高度(沿著Y方向)確定。當端導體線切口圖案168U的高度等於端導體線切口圖案168M的高度時,垂直距離S8bu等於導體區段138p及138n的近側邊緣之間的分離距離S8aa。在第1B圖中,端導體線切口圖案168U及168M中的每一者具有為1.2H的高度,且端導體線切口圖案165M沿著Y方向的位置自介於電力軌42的中心線42C與電力軌44的中心線44C之間的中間位置向下偏移,藉此將導體區段138p的長度實施為在1.0H至1.4H的範圍內。在一些實施例中,端導體線切口圖案168M的位置自中間位置向下偏移達在0.1H至0.2H的範圍內的垂直距離。對應地,自中心線42C至導體區段138p的近側邊緣138pA的垂直距離S8au比自中心線44C至導體區段138n的近側邊緣138nA的垂直距離S8ad大等於在0.2H至0.4H的範圍內的垂直距離。
在一些實施例中,端導體線切口圖案168M的位置自中間位置向下偏移達最小量以防止違反與端導體線切口圖案168U的任何寬度增大相關聯的設計規則,同時使導體區段138p的長度最小化以減小相關聯的雜散電容耦合。在一些實施例中,端導體線切口圖案168M的位置自中間位置盡可能向下偏移而不導致違反設計規則,同時使導體區段138n的長度最小化以減小相關聯的雜散電容耦合。
在第1A圖至第1B圖中,AOI單元100的單元高度在6.0H至8.0H的範圍內。在一些實施例中,AOI單元100的佈局設計由第4A圖至第4C圖中的AOI單元的額外佈局設計補充。在一些實施例中,基本高度單位H係電路單元中的端導體線切口圖案的最小高度而不導致違反設計規則。
當第1A圖至第1B圖中的AOI單元100的佈局設計置於作為更大電路的電路組件的佈局設計中時,端導體線切口圖案165U (用於界定導體區段135p的遠側邊緣135pB)及端導體線切口圖案165D (用於界定導體區段135n的遠側邊緣135nB)均不鄰近於相鄰單元中的通孔連接件VD2。另一方面,當用於界定導體區段135p的遠側邊緣135pB的端導體線切口圖案鄰近於第一相鄰單元中的通孔連接件412且用於界定導體區段135n的遠側邊緣135nB的端導體線切口圖案鄰近於第二相鄰單元中的通孔連接件414時,第4C圖中的AOI單元400C的佈局設計用作更大電路中的電路組件。在第4C圖中,通孔連接件412係將電力軌42連接至第一相鄰單元中的導體區段(圖中未展示)的通孔連接件VD2且通孔連接件414係將電力軌44連接至第二相鄰單元中的導體區段(圖中未展示)的通孔連接件VD2。
此外,當用於界定導體區段135p的遠側邊緣135pB的端導體線切口圖案鄰近於第一相鄰單元中的通孔連接件412但用於界定導體區段135n的遠側邊緣135nB的端導體線切口圖案不鄰近於相鄰單元中的通孔連接件VD2時,第4A圖中的AOI單元400A的佈局設計用作更大電路中的電路組件。當用於界定導體區段135n的遠側邊緣135nB的端導體線切口圖案鄰近於第二相鄰單元中的通孔連接件414但用於界定導體區段135p的遠側邊緣135pB的端導體線切口圖案不鄰近於相鄰單元中的通孔連接件VD2時,第4B圖中的AOI單元400B的佈局設計用作更大電路中的電路組件。
第4A圖至第4C圖係根據一些實施例的AOI單元的佈局圖。第4A圖中的AOI單元400A的佈局圖係藉由對應地用端導體線切口圖案465AU、465AM及465AD替代端導體線切口圖案165U、165M及165D自第1B圖中的AOI單元100的佈局圖修改而來。如同第1B圖中的端導體線切口圖案165U、165M及165D的高度一樣,第4A圖中的端導體線切口圖案465AU、465AM及465AD中的每一者的高度亦維持在1.2H。然而,與第1B圖中的端導體線切口圖案165U、165M及165D相比,第4A圖中的端導體線切口圖案465AU、465AM及465AD中的每一者向下(朝向負Y方向)偏移。由於端導體線切口圖案465AU、465AM及465AD的位置偏移,導體區段135p及135n的長度實施為在1.0H至1.4H的範圍內。
在第4A圖中,端導體線切口圖案465AU的第一水平邊緣界定導體區段135p的遠側邊緣135pB,且端導體線切口圖案465AU的第二水平邊緣與電力軌42的中心線42C對準。在一些替代實施例中,端導體線切口圖案465AU向下偏移以在端導體線切口圖案465AU的第二水平邊緣與電力軌42的中心線42C之間留出分離間隙。端導體線切口圖案465AD的第一水平邊緣界定導體區段135n的遠側邊緣135nB,且端導體線切口圖案465AD的第二水平邊緣位於由電力軌44的中心線44C的另一側上的相鄰單元佔據的區域中。
第4B圖中的AOI單元400B的佈局圖係藉由對應地用端導體線切口圖案465BU、465BM及465BD替代端導體線切口圖案165U、165M及165D自第1B圖中的AOI單元100的佈局圖修改而來。如同第1B圖中的端導體線切口圖案165U、165M及165D的高度一樣,第4B圖中的端導體線切口圖案465BU、465BM及465BD中的每一者的高度亦維持在1.2H。然而,與第1B圖中的端導體線切口圖案165U、165M及165D相比,第4B圖中的端導體線切口圖案465BU、465BM及465BD中的每一者向上(朝向正Y方向)偏移。由於端導體線切口圖案465BU、465BM及465BD的位置偏移,導體區段135p及135n的長度實施為在1.0H至1.4H的範圍內。
在第4B圖中,端導體線切口圖案465BU的第一水平邊緣界定導體區段135p的遠側邊緣135pB,且端導體線切口圖案465BU的第二水平邊緣位於由電力軌42的中心線42C的另一側上的相鄰單元佔據的區域中。端導體線切口圖案465BD的第一水平邊緣界定導體區段135n的遠側邊緣135nB,且端導體線切口圖案465BD的第二水平邊緣與電力軌44的中心線44C對準。在一些替代實施例中,端導體線切口圖案465BD的第二水平邊緣向上偏移以在端導體線切口圖案465BD的第二水平邊緣與電力軌44的中心線44C之間留出分離間隙。
第4C圖中的AOI單元400C的佈局圖係藉由對應地用端導體線切口圖案465CU、465CM及465CD替代端導體線切口圖案165U、165M及165D自第1B圖中的AOI單元100的佈局圖修改而來。在第1B圖中的端導體線切口圖案165U、165M及165D中的每一者的高度為1.2H時,第4C圖中的端導體線切口圖案465CU、465CM及465CD中的每一者的高度減小為1.0H。如同第1B圖中的端導體線切口圖案165M一樣,第4C圖中的端導體線切口圖案465CM沿著Y方向定位在電力軌42的中心線42C與電力軌44的中心線44C之間的中間位置處。端導體線切口圖案465CU的第一水平邊緣界定導體區段135p的遠側邊緣135pB,且端導體線切口圖案465CU的第二水平邊緣鄰近於通孔連接件412。端導體線切口圖案465CD的第一水平邊緣界定導體區段135n的遠側邊緣135nB,且端導體線切口圖案465CD的第二水平邊緣鄰近於通孔連接件414。
在第4C圖中,端導體線切口圖案465CU的第二水平邊緣與電力軌42的中心線42C對準,且端導體線切口圖案465CD的第二水平邊緣與電力軌44的中心線44C對準。在一些替代實施例中,端導體線切口圖案465CU向下偏移以在端導體線切口圖案465CU的第二水平邊緣與中心線42C之間留出分離間隙,藉此減小導體區段135p的長度。在一些替代實施例中,端導體線切口圖案465CD向上偏移以在端導體線切口圖案465CD的第二水平邊緣與中心線44C之間留出分離間隙,藉此減小導體區段135n的長度。
在一些實施例中,第1B圖中的AOI單元100及第4A圖至第4C圖中的AOI單元400A至400C的佈局設計包括在單元佈局庫中。在積體電路的佈局設計過程期間,當需要AOI單元作為積體電路中的組件時,選擇AOI單元的四個佈局設計中的一者(即,AOI單元100、400A、400B或400C中的一者),且選擇取決於鄰近於經受選擇的AOI單元的其他相鄰單元的佈局設計。
第5圖係根據一些實施例的具有三個AOI單元510、520及530的積體電路500的佈局圖。AOI單元400A、AOI單元400C及AOI單元400B被對應地選擇為AOI單元510、520及530。第5圖中的AOI單元400C的佈局與第4C圖中的AOI單元400C的佈局相同。第5圖中的AOI單元400C自電力軌42接收第一供應電壓VDD且自電力軌44接收第二供應電壓VSS。第5圖中的AOI單元400A的佈局係藉由垂直地翻轉AOI單元400A的佈局自第4A圖獲得。第5圖中的AOI單元400A自電力軌42接收第一供應電壓VDD且自電力軌44A接收第二供應電壓VSS。第5圖中的AOI單元400B的佈局係藉由垂直地翻轉AOI單元400B的佈局自第4B圖獲得。第5圖中的AOI單元400A自電力軌42A接收第一供應電壓VDD且自電力軌44接收第二供應電壓VSS。
在第5圖中,因為AOI單元510中的端導體線切口圖案465AU鄰近於AOI單元520中的通孔連接件174,所以第4A圖的AOI單元400A被選擇為AOI單元510。因為AOI單元520中的端導體線切口圖案465CU鄰近於AOI單元510中的通孔連接件174且AOI單元520中的端導體線切口圖案465CD鄰近於AOI單元530中的通孔連接件178,所以第4C圖的AOI單元400C被選擇為AOI單元520。因為AOI單元530中的端導體線切口圖案465BD鄰近於AOI單元520中的通孔連接件172,所以第4B圖的AOI單元400B被選擇為AOI單元530。
在如第1A圖至第1B圖及第4A圖至第4C圖中所示的實施例中,由於端導體線切口圖案的高度與其中端導體線切口圖案的高度維持在最小值1.0H的替代設計相比有所增大(例如1.2H),AOI單元100及400A至400C全部設計成導體區段中的一些或全部具有減小的長度。在如第6圖及第7A圖至第7B圖中所示的一些實施例中,AOI單元600及700A至700B全部設計成具有減小的單元長度,而端導體線切口圖案的高度維持在最小值1.0H。
第6圖係根據一些實施例的具有減小的單元高度的AOI單元600的的佈局圖。第6圖中的AOI單元600的佈局圖係藉由對應地用端導體線切口圖案662U、662M、664M、664D、665U、665M、665D、666U、666D、668U及668M替代端導體線切口圖案162U、162M、164M、164D、165U、165M、165D、166U、166D、168U及168M自第1B圖中的AOI單元100的佈局圖修改而來。在第1B圖中的每個端導體線切口圖案(162U、162M、164M、164D、165U、165M、165D、166U、166D、168U或168M)的高度為1.2H時,第6圖中的每個端導體線切口圖案(662U、662M、664M、664D、665U、665M、665D、666U、666D、668U或668M)的高度減小為1.0H。一些端導體線切口圖案的垂直位置亦經調整。在第1B圖中的AOI單元100的單元高度為6H至8H時,第6圖中的AOI單元600的單元高度減小為4H至6H。
正如第1B圖中的端導體線切口圖案162M及168M一樣,第6圖中的端導體線切口圖案662M及668M的位置亦自介於電力軌42的中心線42C與電力軌44的中心線44C之間的中間位置向下偏移。然而,第6圖中的端導體線切口圖案662M及668M中的每一者的位置偏移量不同於第1B圖中的端導體線切口圖案162M及168M的位置偏移量。具體而言,端導體線切口圖案662M及668M中的每一者自中間位置向下偏移達在0.2H至0.3H的範圍內的垂直距離。在一些實施例中,即使可藉由改變端導體線切口圖案662M的位置偏移量調整導體區段132p及132n的長度以最佳化與導體區段132p及132n中的每一者相關聯的雜散電容耦合,但位置偏移的最小量及位置偏移的最大量受設計規則限制。類似地,在一些實施例中,即使可藉由改變端導體線切口圖案668M的位置偏移量調整導體區段138p及138n的長度以最佳化與導體區段138p及138n中的每一者相關聯的雜散電容耦合,但位置偏移的最小量及位置偏移的最大量受設計規則限制。
正如第1B圖中的端導體線切口圖案164M一樣,第6圖中的端導體線切口圖案664M的位置亦自介於電力軌42的中心線42C與電力軌44的中心線44C之間的中間位置向上偏移。具體而言,端導體線切口圖案664M自中間位置向上偏移達在0.2H至0.3H的範圍內的垂直距離,該範圍不同於第1B圖中的端導體線切口圖案164M的位置偏移的範圍。在一些實施例中,即使可藉由改變端導體線切口圖案664M的位置偏移量調整導體區段134p及134n的長度以最佳化與導體區段134p及134n中的每一者相關聯的雜散電容耦合,但位置偏移的最小量及位置偏移的最大量受設計規則限制。
正如第1B圖中的端導體線切口圖案165U及165D一樣,第6圖中的端導體線切口圖案665U及665D的位置亦沿著Y方向被調整。具體而言,端導體線切口圖案665U的位置沿著Y方向被調整以保持第6圖中的導體區段135p的長度在1.4H至1.8H的範圍內,且端導體線切口圖案665D的位置沿著Y方向被調整以保持第6圖中的導體區段135n的長度亦在1.4H至1.8H的範圍內。相比之下,第1B圖中的導體區段135p及135n的長度保持在1.0H至1.4H的範圍內。在第6圖中,端導體線切口圖案665U的上邊緣不與電力軌42的中心線42C對準,而是自中心線42C向上偏移達在0.2H至0.3H的範圍內的垂直距離,且端導體線切口圖案665D的下邊緣不與電力軌44的中心線44C對準,而是自中心線44C向下偏移達在0.2H至0.3H的範圍內的垂直距離。
在一些實施例中,端導體線切口圖案665U的上邊緣向上偏移達至少最小量以保持導體區段135p的長度大於設計規則所需要的最小長度,而端導體線切口圖案665U的上邊緣不向上偏移太多以致於不必要地增大相關聯的雜散電容。在一些實施例中,端導體線切口圖案665D的下邊緣向下偏移達至少最小量以保持導體區段135n的長度大於設計規則所需要的最小長度,而端導體線切口圖案665D的下邊緣不向下偏移太多以致於不必要地增大相關聯的雜散電容。在一些實施例中,當導體區段135p或導體區段135n小於預設製造程序所需要的最小長度時,只要導體區段135p及135n的長度不太小且仍然大於補救製造程序所需要的最小長度,便使用補救製造程序來製造第6圖中的AOI單元600。在一個具體實例中,預設製造程序需要一個遮罩來製造第一金屬層中的導電線,但補救製造程序需要兩個遮罩來製造第一金屬層中的導電線。因此,保持導體區段135p及135n的長度大於與預設製造程序相關聯的設計規則所需要的最小長度減小了製造期間的遮罩數。
當第6圖中的AOI單元600的佈局設計置於作為更大電路的電路組件的佈局設計中時,端導體線切口圖案665U (用於界定導體區段135p的遠側邊緣135pB)及端導體線切口圖案665D (用於界定導體區段135n的遠側邊緣135nB)均不鄰近於相鄰單元中的通孔連接件VD2。
當用於界定導體區段135p的遠側邊緣135pB的端導體線切口圖案鄰近於相鄰單元中的通孔連接件412但用於界定導體區段135n的遠側邊緣135nB的端導體線切口圖案不鄰近於相鄰單元中的通孔連接件VD2時,第7A圖中的AOI單元700A的佈局設計用作更大電路中的電路組件。在第7A圖中,通孔連接件412係將電力軌42連接至相鄰單元中的導體區段(圖中未展示)的通孔連接件VD2。
當用於界定導體區段135n的遠側邊緣135nB的端導體線切口圖案鄰近於相鄰單元中的通孔連接件414但用於界定導體區段135p的遠側邊緣135pB的端導體線切口圖案不鄰近於相鄰單元中的通孔連接件VD2時,第7B圖中的AOI單元700B的佈局設計用作更大電路中的電路組件。在第7B圖中,通孔連接件414係將電力軌44連接至相鄰單元中的導體區段(圖中未展示)的通孔連接件VD2。
當用於界定導體區段135p的遠側邊緣135pB的端導體線切口圖案鄰近於第一相鄰單元中的通孔連接件412且用於界定導體區段135n的遠側邊緣135nB的端導體線切口圖案鄰近於第二相鄰單元中的通孔連接件414時,第7C圖中的佈局排列構成對設計規則的違反。對違反設計規則的一種補救措施係將AOI單元700C的佈局位置水平地(即,沿著X方向)偏移至新位置,在此位置中可用AOI單元600、700A或700B中的一者替代AOI單元700C。其他補救措施包括將鄰近於AOI單元700C的相鄰單元的佈局位置偏移至新位置,直至可用AOI單元600、700A或700B中的一者替代AOI單元700C為止。
第7A圖至第7B圖係根據一些實施例的AOI單元的佈局圖。第7A圖至第7B圖中的AOI單元700A至700B的佈局圖係自第6圖中的AOI單元600的佈局圖修改而來。
第7A圖中的AOI單元700A的佈局圖係藉由對應地用端導體線切口圖案765AU、765AM及765AD替代端導體線切口圖案665U、665M及665D自第6圖中的AOI單元600的佈局圖修改而來。如同第6圖中的端導體線切口圖案665U、665M及665D的高度一樣,第7A圖中的端導體線切口圖案765AU、765AM及765AD中的每一者的高度亦維持在1.0H。然而,與第6圖中的端導體線切口圖案665U、665M及665D相比,第7A圖中的端導體線切口圖案765AU、765AM及765AD中的每一者向下偏移。由於端導體線切口圖案765AU、765AM及765AD的位置偏移,導體區段135p及135n的長度實施為在1.4H至1.8H的範圍內。
在第7A圖中,端導體線切口圖案765AU的下邊緣界定導體區段135p的遠側邊緣135pB,且端導體線切口圖案765AD的上邊緣界定導體區段135n的遠側邊緣135nB。端導體線切口圖案765AM的上邊緣界定導體區段135p的近側邊緣135pA,且端導體線切口圖案765AM的下邊緣界定導體區段135n的近側邊緣135nA。端導體線切口圖案765AU的上邊緣與電力軌42的中心線42C對準。在一些替代實施例中,端導體線切口圖案765AU向下偏移以在端導體線切口圖案765AU的第二水平邊緣與電力軌42的中心線42C之間留出分離間隙。端導體線切口圖案665AD的下邊緣不與電力軌44的中心線44C對準,而是自中心線44C向下偏移達在0.2H至0.3H的範圍內的垂直距離。端導體線切口圖案665AM自介於電力軌42的中心線42C與電力軌44的中心線44C之間的中間位置向下偏移,且端導體線切口圖案665AM的偏移量在0.2H至0.3H的範圍內。
第7B圖中的AOI單元700B的佈局圖係藉由對應地用端導體線切口圖案765BU、765BM及765BD替代端導體線切口圖案665U、665M及665D自第6圖中的AOI單元600的佈局圖修改而來。如同第6圖中的端導體線切口圖案665U、665M及665D的高度一樣,第7B圖中的端導體線切口圖案765BU、765BM及765BD中的每一者的高度亦維持在1.0H。然而,與第6圖中的端導體線切口圖案665U、665M及665D相比,第7B圖中的端導體線切口圖案765BU、765BM及765BD中的每一者向上偏移。由於端導體線切口圖案765BU、765BM及765BD的位置偏移,導體區段135p及135n的長度實施為在1.4H至1.8H的範圍內。
在第7B圖中,端導體線切口圖案765BU的下邊緣界定導體區段135p的遠側邊緣135pB,且端導體線切口圖案765BD的上邊緣界定導體區段135n的遠側邊緣135nB。端導體線切口圖案765BM的上邊緣界定導體區段135p的近側邊緣135pA,且端導體線切口圖案765BM的下邊緣界定導體區段135n的近側邊緣135nA。
端導體線切口圖案665BU的上邊緣不與電力軌42的中心線42C對準,而是自中心線42C向上偏移達在0.2H至0.3H的範圍內的垂直距離。端導體線切口圖案765BD的下邊緣與電力軌44的中心線44C對準。在一些替代實施例中,端導體線切口圖案765BD向上偏移以在端導體線切口圖案765BD的第二水平邊緣與電力軌44的中心線44C之間留出分離間隙。端導體線切口圖案665BM自介於電力軌42的中心線42C與電力軌44的中心線44C之間的中間位置向上偏移,且端導體線切口圖案665BM的偏移量在0.2H至0.3H的範圍內。
第8A圖至第8C圖係根據一些實施例的具有三個AOI單元810、820及830的積體電路800A至800C的佈局圖。在第8A圖中,若第一AOI單元600被選擇為AOI單元810且第二AOI單元600被選擇為AOI單元830,則AOI單元600及AOI單元700A至700B均不可被選擇為AOI單元820。在第8A圖中,AOI單元810中的通孔連接件174鄰近於用於界定導體區段135p的遠側邊緣135pB的端導體線切口圖案(例如765CU),且AOI單元830中的通孔連接件172鄰近於用於界定導體區段135n的遠側邊緣135nB的端導體線切口圖案(例如765CD)。對AOI單元820的任何選擇都將導致違反設計規則,正如第7C圖中的佈局排列中的設計規則違反一樣。然而,可藉由將AOI單元820及/或AOI單元830水平地偏移(即,沿著X方向)來解決設計規則違反。
第8B圖中的佈局圖係藉由將第8A圖中的AOI單元830水平地向左偏移而對第8A圖中的佈局圖進行的修改。在第8B圖中,第一AOI單元600被選擇為AOI單元810且第二AOI單元600被選擇為AOI單元830。另外,AOI單元700A被選擇為AOI單元820。第8B圖中的AOI單元820的佈局與第7A圖中的AOI單元700A的佈局相同。第8B圖中的AOI單元810的佈局係藉由垂直地翻轉第6圖中的AOI單元600的佈局獲得。第8B圖中的AOI單元830的佈局亦係藉由垂直地翻轉第6圖中的AOI單元600的佈局獲得。
在第8B圖中,因為端導體線切口圖案665U及665D均不鄰近於相鄰單元中的通孔連接件VD2 (例如172、174或178),所以兩個AOI單元600被選擇為AOI單元810及830。因為用於界定導體區段135p的遠側邊緣135pB的端導體線切口圖案(即,765AU)鄰近於AOI單元810中的通孔連接件VD2 (即,174)但用於界定導體區段135n的遠側邊緣135nB的端導體線切口圖案(即,765AD)不鄰近於AOI單元830中的通孔連接件VD2 (例如172、174或178),所以AOI單元700A被選擇為AOI單元820。
作為另一實例補救措施,藉由將AOI單元810及AOI單元830水平地偏移來解決第8A圖中的設計規則違反,且在第8C圖中展示所得佈局圖。AOI單元700A被選擇為AOI單元810,第一AOI單元600被選擇為AOI單元820,且第二AOI單元600被選擇為AOI單元830。第8C圖中的AOI單元810的佈局係藉由垂直地翻轉第7A圖中的AOI單元700A的佈局獲得。第8C圖中的AOI單元820的佈局與第6圖中的AOI單元600的佈局相同。第8C圖中的AOI單元830的佈局係藉由垂直地翻轉第6圖中的AOI單元600的佈局獲得。
在第8C圖中,因為用於界定導體區段135p的遠側邊緣135pB的端導體線切口圖案(即,765AU)鄰近於AOI單元820中的通孔連接件VD2 (即,174)但用於界定導體區段135n的遠側邊緣135nB的端導體線切口圖案(即,765AD)不鄰近於相鄰單元中的通孔連接件VD2,所以AOI單元700A被選擇為AOI單元810。因為AOI單元820及830中的端導體線切口圖案665U及665D均不鄰近於相鄰單元中的通孔連接件VD2 (例如172、174或178),所以兩個AOI單元600被選擇為AOI單元820及830。
第9圖係根據一些實施例的製造積體電路的方法900的流程圖。在第9圖中描繪方法900的操作的順序僅用於說明,方法900的操作能夠按不同於第9圖中所描繪的順序的順序執行。應理解,可在第9圖中所描繪的方法900之前、期間及/或之後執行額外操作,且本文中可能僅簡要描述一些其他過程。
在方法900的操作910中,在基板上製造作用區結構。在如第2A圖至第2C圖及第3A圖至第3E圖中所示的實例實施例中,在基板20上製造作用區結構80p及作用區結構80n。作用區結構80p及作用區結構80n中的每一者在X方向上延伸。在操作910中製造的作用區結構的實例包括鰭結構、奈米片結構及奈米線結構。
在方法900的操作920中,製造與作用區結構相交的端導體線。在一些實施例中,端導體線(即,源極或汲極導體)被稱為MD導體。在如第1A圖至第1B圖中的佈局圖所指明的積體電路的實例實施例中,製造與作用區結構80p及80n相交的端導體線132、134、135、136及138。在第3A圖至第3E圖中,僅描繪端導體線的區段。
在方法900的操作930中,蝕刻端導體線以形成導體區段。在一些實施例中,在如端導體線切口圖案所界定的遮罩開口內曝露端導體線的需要移除的部分。例如,為了形成如第1A圖至第1B圖中的佈局圖所指明的積體電路,由佈局圖中的端導體線切口圖案162U、162M、164M、164D、165U、165M、165D、166U、166D、168U及168M指明端導體線的曝露部分。
在操作930中,在藉由蝕刻製程移除如端導體線切口圖案162U及164M所指明的端導體線132的曝露部分之後,將端導體線132分成以分離距離S2aa分開的兩個導體區段132p及132n,如第3A圖中所示。在藉由蝕刻製程移除如端導體線切口圖案164M及164D所指明的端導體線134的曝露部分之後,將端導體線134分成以分離距離S4aa分開的兩個導體區段134p及134n,如第3B圖中所示。在藉由蝕刻製程移除如端導體線切口圖案165U、165M及165D所指明的端導體線135的曝露部分之後,將端導體線135分成以分離距離S5aa分開的兩個導體區段135p及135n,如第3C圖中所示。在藉由蝕刻製程移除如端導體線切口圖案166U及166D所指明的端導體線136的曝露部分之後,端導體線136變成縮短的導體區段136p,如第3D圖中所示。在藉由蝕刻製程移除如端導體線切口圖案168U及168M所指明的端導體線138的曝露部分之後,將端導體線138分成以分離距離S8aa分開的兩個導體區段138p及138n,如第3E圖中所示。
在方法900的操作940中,形成電力軌且將一些導體區段經由通孔連接件連接至電力軌。在如第2A圖至第2C圖及第3A圖至第3E圖中所示的實例實施例中,在上覆於絕緣層22的第一金屬層M0中製造電力軌42及44。在第3A圖中,導體區段132n經由通孔連接件VD2連接至電力軌44。在第3B圖中,導體區段134p經由通孔連接件VD2連接至電力軌42。在第3E圖中,導體區段138n經由通孔連接件VD2連接至電力軌44。
在根據第1A圖至第1B圖、第4A圖至第4C圖、第6圖及第7A圖至第7B圖中的任一者中的佈局圖的指明利用方法900製造的積體電路中,至少一條端導體線分成兩個區段,且兩個區段的近側邊緣具有距對應的電力軌的不同垂直距離。例如,自電力軌42的中心線42C至第一導體區段(例如132p、143p或138p)的近側邊緣的第一垂直距離與自電力軌44的中心線44C至第二導體區段(例如132n、143n或138n)的近側邊緣的第二垂直距離不同達預定垂直距離。表徵第一垂直距離與第二垂直距離之間的差異的該預定垂直距離係基本高度單位H的一部分。在第1A圖至第1B圖的AOI單元100及第4A圖至第4C圖的AOI單元400A至400C中,表徵該差異的預定垂直距離在0.2H至0.4H的範圍內。在第6圖的AOI單元600及第7A圖至第7B圖的AOI單元700A至700B中,表徵該差異的預定垂直距離在0.4H至0.6H的範圍內。
第10圖係根據一些實施例的電子設計自動化(electronic design automation,EDA)系統1000的方塊圖。
在一些實施例中,EDA系統1000包括自動放置及佈線(automatic placement and routing,APR)系統。本文中描述的設計佈局圖的方法表示根據一或多個實施例的佈線排列,可例如使用根據一些實施例的EDA系統1000實施。
在一些實施例中,EDA系統1000係包括硬體處理器1002及非暫時性電腦可讀儲存媒體1004的通用計算裝置。其中儲存媒體1004編碼有(即,儲存)電腦程式碼1006(即,可執行指令集)。由硬體處理器1002執行電腦程式碼1006 (至少部分地)表示EDA工具,該EDA工具實施根據一或多個實施例的本文中描述的方法(下文中為所述過程及/或方法)的一部分或全部。
硬體處理器1002經由匯流排1008電耦接至非暫時性電腦可讀儲存媒體1004。硬體處理器1002亦藉由匯流排1008電耦接至I/O介面1010。網路介面1012亦經由匯流排1008電連接至硬體處理器1002。網路介面1012連接至網路1014,因此硬體處理器1002及非暫時性電腦可讀儲存媒體1004能夠經由網路1014連接至外部元件。硬體處理器1002用以執行編碼於非暫時性電腦可讀儲存媒體1004中的電腦程式碼1006以便致使系統1000可用於執行所述過程及/或方法的一部分或全部。在一或多個實施例中,硬體處理器1002係中央處理單元(central processing unit,CPU)、多處理器、分散式處理系統、特殊應用積體電路(application specific integrated circuit,ASIC)及/或合適的處理單元。
在一或多個實施例中,非暫時性電腦可讀儲存媒體1004係電子、磁性、光學、電磁、紅外及/或半導體系統(或設備或裝置)。例如,非暫時性電腦可讀儲存媒體1004包括半導體或固態記憶體、磁帶、可移式電腦磁片、隨機存取記憶體(random access memory,RAM)、唯讀記憶體(read-only memory,ROM)、硬磁碟及/或光碟。在使用光碟的一或多個實施例中,非暫時性電腦可讀儲存媒體1004包括唯獨光碟記憶體(compact disk-read only memory,CD-ROM)、讀/寫光碟(compact disk-read/write,CD-R/W)及/或數位視訊光碟(digital video disc,DVD)。
在一或多個實施例中,非暫時性電腦可讀儲存媒體1004儲存用以致使系統1000 (其中此種執行(至少部分地)表示EDA工具)可用於執行所述過程及/或方法的一部分或全部的電腦程式碼1006。在一或多個實施例中,非暫時性電腦可讀儲存媒體1004亦儲存有助於執行所述過程及/或方法的一部分或全部的資訊。在一或多個實施例中,非暫時性電腦可讀儲存媒體1004儲存包括如本文中所揭示的此類標準單元的標準單元庫1007。在一或多個實施例中,非暫時性電腦可讀儲存媒體1004儲存對應於本文中所揭示的一或多個佈局的一或多個佈局圖1009。
EDA系統1000包括I/O介面1010。I/O介面1010耦接至外部電路。在一或多個實施例中,I/O介面1010包括鍵盤、小鍵盤、滑鼠、軌跡球、軌跡墊、觸控螢幕及/或游標方向鍵,用於向硬體處理器1002傳達資訊及命令。
EDA系統1000亦包括耦接至硬體處理器1002的網路介面1012。網路介面1012允許系統1000與網路1014通信,一或多個其他電腦系統連接至網路1014。網路介面1012包括無線網路介面,諸如藍芽、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,諸如乙太網路、USB或IEEE-1364。在一或多個實施例中,在兩個或兩個以上系統1000中實施所述過程及/或方法的一部分或全部。
系統1000用以經由I/O介面1010接收資訊。經由I/O介面1010接收的資訊包括用於由硬體處理器1002處理的指令、資料、設計規則、標準單元庫及/或其他參數中的一或多者。資訊經由匯流排1008傳送至硬體處理器1002。EDA系統1000用以經由經由I/O介面1010接收與UI相關的資訊。該資訊作為使用者介面(user interface,UI) 1042儲存於非暫時性電腦可讀儲存媒體1004中。
在一些實施例中,所述過程及/或方法的一部分或全部被實施為供處理器執行的獨立軟體應用程式。在一些實施例中,所述過程及/或方法的一部分或全部被實施為係額外軟體應用程式的一部分的軟體應用程式。在一些實施例中,所述過程及/或方法的一部分或全部被實施為軟體應用程式的外掛程式。在一些實施例中,所述過程及/或方法中的至少一者被實施為係EDA工具的一部分的軟體應用程式。在一些實施例中,所述過程及/或方法的一部分或全部被實施為由EDA系統1000使用的軟體應用程式。在一些實施例中,包括標準單元的佈局圖係使用諸如VIRTUOSO®(可購自CADENCE DESIGN SYSTEMS公司)的工具或另一種合適的佈局產生工具產生的。
在一些實施例中,過程被實現為儲存於非暫時性電腦可讀儲存媒體中的程式的功能。非暫時性電腦可讀儲存媒體的實例包括但不限於外部/可移式及/或內部/內建式儲存器或記憶體單元,例如,光碟(諸如DVD)、磁碟(諸如硬碟)、半導體記憶體(諸如ROM、RAM)、記憶卡等中的一或多者。
第11圖係根據一些實施例的積體電路(integrated circuit,IC)製造系統1100及與其相關聯的IC製造流程的方塊圖。在一些實施例中,基於佈局圖,使用製造系統1100製造以下中的至少一者:(A)一或多個半導體遮罩或(B)半導體積體電路的層中的至少一個組件。
在第11圖中,製造系統1100包括在與製造IC裝置1160相關的設計、開發及製造週期及/或服務中彼此互動的實體,諸如設計工作室1120、遮罩工作室1130及IC製造商/製造廠(IC manufacturer/fabricator,可簡稱為IC fab) 1150。製造系統1100中的實體藉由通信網路連接起來。在一些實施例中,通信網路係單個網路。在一些實施例中,通信網路係多種不同的網路,諸如內部網路及網際網路。通信網路包括有線及/或無線通信通道。每個實體與其他實體中的一或多者互動且向其他實體中的一或多者提供服務且/或自其他實體中的一或多者接收服務。在一些實施例中,單個更大的公司擁有設計工作室1120、遮罩工作室1130及IC fab 1150中的兩者或兩者以上。在一些實施例中,設計工作室1120、遮罩工作室1130及IC fab 1150中的兩者或兩者以上共存於公用設施中且使用公用資源。
設計工作室(或設計團隊) 1120產生IC設計佈局圖1122。IC設計佈局圖1122包括針對IC裝置1160設計的各種幾何圖案。幾何圖案對應於金屬、氧化物或半導體層的圖案,該等層構成要製造的IC裝置1160的各種組件的。各種層組合起來形成各種IC特徵。例如,IC設計佈局圖1122的一部分包括要在半導體基板(諸如矽晶圓)中形成的各種IC特徵(諸如作用區、閘電極、源極及汲極、金屬線或層間互連介層窗及用於焊墊的開口)及安置於半導體基板上的各種材料層。設計工作室1120實施適當的設計程序以形成IC設計佈局圖1122。設計程序包括邏輯設計、實體設計或佈局及佈線中的一或多者。IC設計佈局圖1122呈現在具有幾何圖案的資訊的一或多個資料檔案中。例如,IC設計佈局圖1122可以GDSII檔案格式或DFII檔案格式表達。
遮罩工作室1130包括遮罩資料準備1132及遮罩製造1144。遮罩工作室1130使用IC設計佈局圖1122來製造一或多個遮罩1145,一或多個遮罩1145將被用於根據IC設計佈局圖1122製造IC裝置1160的各種層。遮罩工作室1130執行遮罩資料準備1132,其中將IC設計佈局圖1122轉譯成代表性資料檔案(representative data file,RDF)。遮罩資料準備1132向遮罩製造1144提供RDF。遮罩製造1144包括遮罩寫入器。遮罩寫入器將RDF轉換成基板上的影像,諸如遮罩(標線片) 1145或半導體晶圓1153。IC設計佈局圖1122由遮罩資料準備1132操縱以符合遮罩寫入器的特定特性及/或IC fab 1150的要求。在第11圖中,遮罩資料準備1132及遮罩製造1144被示出為單獨的元件。在一些實施例中,遮罩資料準備1132及遮罩製造1144可被共同稱為遮罩資料準備。
在一些實施例中,遮罩資料準備1132包括光學近接修正(optical proximity correction,OPC),OPC使用微影增強技術來補償影像誤差,諸如可由繞射、干涉、其他製程效應等引起的影像誤差。OPC調整IC設計佈局圖1122。在一些實施例中,遮罩資料準備1132包括另外的解析度增強技術(resolution enhancement technique,RET),諸如偏軸照明、次級解析輔助特徵、相移遮罩、其他合適的技術及類似技術或其組合。在一些實施例中,亦使用逆向微影技術(inverse lithography technology,ILT),ILT將OPC當做逆向成像問題。
在一些實施例中,遮罩資料準備1132包括遮罩規則核對器(mask rule checker,MRC),MRC利用含有某些幾何限制及/或連接性限制的一組遮罩創建規則來核對已在OPC中經歷處理的IC設計佈局圖1122,以確保足夠的邊限、考量半導體製程中的可變性等。在一些實施例中,MRC修改IC設計佈局圖1122以補償遮罩製造1144期間的限制,這可能撤銷OPC所執行的修改的一部分以便滿足遮罩創建規則。
在一些實施例中,遮罩資料準備1132包括微影製程核對(lithography process checking,LPC),LPC模擬將由IC fab 1150實施以製造IC裝置1160的處理。LPC基於IC設計佈局圖1122來模擬此處理以創建模擬的已製造裝置,諸如IC裝置1160。LPC模擬中的處理參數可包括與IC製造週期的各種過程相關聯的參數、與用於製造IC的工具相關聯的參數及/或製程的其他態樣。LPC考慮到各種因素,諸如空中影像對比、焦點深度(depth of focus,DOF)、遮罩誤差增強因素(mask error enhancement factor,MEEF)、其他合適的因素及類似因素或其組合。在一些實施例中,在LPC已創建模擬的已製造裝置之後,若模擬的裝置的形狀不夠接近而無法滿足設計規則,則重複OPC及/或MRC以進一步改善IC設計佈局圖1122。
應理解,為了清晰起見,已簡化以上對遮罩資料準備1132的描述。在一些實施例中,遮罩資料準備1132包括額外特徵,諸如邏輯運算(logic operation,LOP),以根據製造規則修改IC設計佈局圖1122。另外,在遮罩資料準備1132期間應用於IC設計佈局圖1122的過程可按多種不同的次序執行。
在遮罩資料準備1132之後且在遮罩製造1144期間,基於修改後的IC設計佈局圖1122製造遮罩1145或一組遮罩1145。在一些實施例中,遮罩製造1144包括基於IC設計佈局圖1122執行一或多次微影曝光。在一些實施例中,使用電子束(e束)或多個e束的機制來基於IC設計佈局圖1122在遮罩(光罩或標線片) 1145上形成圖案。遮罩1145可以各種技術形成。在一些實施例中,遮罩1145係使用二元技術形成。在一些實施例中,遮罩圖案包括不透明區及透明區。用於曝露已塗佈於晶圓上的影像敏感材料層(例如光阻劑)的輻射束,諸如紫外(ultraviolet,UV)射束,受到不透明區阻擋且透射穿過透明區。在一個實例中,遮罩1145的二元遮罩版本包括透明基板(例如熔融石英)及塗佈於二元遮罩的不透明區中的不透明材料(例如鉻)。在另一實施例中,遮罩1145係使用相移技術形成。在遮罩1145的相移遮罩(phase shift mask,PSM)版本中,在相移遮罩上形成的圖案中的各種特徵組態成具有適當的相位差以增強解析度及成像品質。在各種實例中,相移遮罩可為衰減式PSM或交替式PSM。由遮罩製造1144產生的遮罩用於多種製程中。例如,此種(此類)遮罩在離子植入製程中用於在半導體晶圓1153中形成各種摻雜區,在蝕刻製程中用於在半導體晶圓1153中形成各種蝕刻區,且/或用於其他合適的製程中。
IC fab 1150係包括用於製造多種不同的IC產品的一或多個製造設施的IC製造企業。在一些實施例中,IC fab 1150係半導體代工廠。例如,可存在用於複數個IC產品的前端製造(前端製程(front-end-of-line,FEOL)製造)的製造設施,而第二製造設施可提供用於IC產品的互連及封裝的後端製造(後端製程(back-end-of-line,BEOL)製造),且第三製造設施可提供用於代工廠企業的其他服務。
IC fab 1150包括製造工具1152,其用以對半導體晶圓1153執行各種製造操作,使得根據遮罩(例如遮罩1145)製造IC裝置1160。在各種實施例中,製造工具1152包括以下中的一或多者:晶圓步進機、離子植入機、光阻劑塗佈機、處理室(例如, 化學氣相沈積(chemical vapor deposition,CVD)室或低壓化學氣相沈積(low-pressure chemical vapor deposition,LPCVD)爐)、  化學機械研磨(chemical mechanical polishing,CMP)系統、電漿蝕刻系統、晶圓清洗系統,或能夠執行如本文所論述的一或多個合適製程的其他製造設備。
IC fab 1150使用由遮罩工作室1130製造的遮罩1145來製造IC裝置1160。因此,IC fab 1150至少間接地使用IC設計佈局圖1122來製造IC裝置1160。在一些實施例中,IC fab 1150使用遮罩1145來加工半導體晶圓1153以形成IC裝置1160。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖1122執行一或多次微影曝光。半導體晶圓1153包括上面形成有材料層的矽基板或其他適當的基板。半導體晶圓1153進一步包括各種摻雜區、介電特徵、多層互連等(在後續製造步驟處形成)中的一或多者。
關於積體電路(integrated circuit,IC)製造系統(例如第11圖的製造系統1100)及與其相關聯的IC製造流程的細節在2016年2月9日授予的美國專利第9,256,709號、2015年10月1日公開的美國預授予公開案第20150278429號、2014年2月6日公開的美國預授予公開案第20140040838號及2007年8月21日授予的美國專利第7,260,442號中找到,該些案中的每一者以全文引用的方式併入本文中。
本案的態樣涉及一種積體電路。積體電路包括在第一方向上延伸的第一電力軌及第二電力軌、在第一方向上延伸的第一作用區結構及第二作用區結構,以及在垂直於第一方向的第二方向上延伸的具有第一導體區段及第二導體區段的第一端導體線。第一導體區段及第二導體區段在近側邊緣處以第一分離距離分開。第一端導體線的第一導體區段與第一作用區結構相交且具有沿著第二方向與第一電力軌分開的遠側邊緣。第一端導體線的第二導體區段與第二作用區結構相交且經由第一通孔連接件連接至第二電力軌。沿著第二方向自第一電力軌的中心線至第一端導體線的第一導體區段的近側邊緣的第一垂直距離不同於沿著第二方向自第二電力軌的中心線至第一端導體線的第二導體區段的近側邊緣的第二垂直距離。更具體而言,第一垂直距離比第二垂直距離大第一預定垂直距離,該第一預定垂直距離係第一分離距離的一部分。
本案的另一態樣亦涉及一種積體電路。積體電路包括在第一方向上延伸的第一電力軌及第二電力軌、在第一方向上延伸的第一作用區結構及第二作用區結構,以及具有第一導體區段及第二導體區段且在垂直於第一方向的第二方向上延伸的第一端導體線。第一端導體線的第一導體區段及第二導體區段在近側邊緣處以第一分離距離分開。第一端導體線的第一導體區段與第一作用區結構相交且具有沿著第二方向與第一電力軌分開的遠側邊緣。第一端導體線的第二導體區段與第二作用區結構相交且經由第一通孔連接件連接至第二電力軌。積體電路亦包括具有第一導體區段及第二導體區段且在第二方向上延伸的第二端導體線。第二端導體線的第一導體區段及第二導體區段在近側邊緣處以第一分離距離分開。第二端導體線的第一導體區段與第一作用區結構相交且經由第二通孔連接件連接至第一電力軌。第二端導體線的第二導體區段與第二作用區結構相交且具有沿著第二方向與第二電力軌分開的遠側邊緣。積體電路進一步包括:第一水平單元邊界,該第一水平單元邊界在第一方向上延伸且鄰接第二通孔連接件的外邊緣;以及第二水平單元邊界,該第二水平單元邊界在第一方向上延伸且鄰接第一通孔連接件的外邊緣。沿著第二方向自第一水平單元邊界至第一端導體線的第一導體區段的近側邊緣的垂直距離大於沿著第二方向自第二水平單元邊界至第一端導體線的第二導體區段的近側邊緣的垂直距離。沿著第二方向自第一水平單元邊界至第二端導體線的第一導體區段的近側邊緣的垂直距離小於沿著第二方向自第二水平單元邊界至第二端導體線的第二導體區段的近側邊緣的垂直距離。
本案的另一態樣涉及一種方法。方法包括:製造在第一方向上延伸的第一作用區結構及第二作用區結構;製造在垂直於第一方向的第二方向上延伸的第一端導體線及第二端導體線;以及蝕刻第一端導體線及第二端導體線,藉此將第一端導體線及第二端導體線中的每一者分成與第一作用區結構相交的第一導體區段及與第二作用區結構相交的第二導體區段。方法亦包括:形成在第一方向上延伸的第一電力軌及第二電力軌;以及將第一端導體線的第二導體區段經由第一通孔連接件連接至第二電力軌。自第一電力軌的中心線至第一端導體線的第一導體區段的近側邊緣的垂直距離比自第二電力軌的中心線至第一端導體線的第二導體區段的近側邊緣的垂直距離大第一預定垂直距離,該第一預定垂直距離係第一分離距離的一部分,該第一分離距離將第一端導體線的第一導體區段及第二導體區段分開。
前述內容概述了若干實施例的特徵,以便熟習此項技術者可更好地理解本案的態樣。熟習此項技術者應瞭解,他們可容易使用本案的一實施例作為基礎來設計或修改其他製程及結構以便實現本文所介紹的實施例的相同目的及/或達成此等實施例的相同優點。熟習此項技術者亦應意識到,此類等效構造不脫離本案的精神及範疇,且他們可在不脫離本案的精神及範疇的情況下在本文中進行各種改變、替代及變更。
20:基板 22:絕緣層 42,44:電力軌 42C,44C:中心線 80n,80p:作用區結構 100:與或反相器單元 111,119:垂直單元邊界 112,118:水平單元邊界 122,124A,124B,124C,126A,126B:水平導電線 132,134,135,136,138 : 端導體線 132p,132n,134p,134n,135p,135n,136p,138p,138n:導體區段 132pA,132nA,134nA,134pA,135nA,135pA,138nA,138pA:近側邊緣 132pB,134nB,135nB,135pB,138pB:遠側邊緣 136i:絕緣結構 151,159:虛設閘極導體 151i,159i:邊界隔離區 162U,162M,164M,164D,165U,165M,165D,166U,166D,168U,168M,662U,662M,664M,664D,665U,665M,665D,666U,666D,668U,668M,765AU,765AM,765AD,765BU,765BM,765BD,765CU,765CD:端導體線切口圖案 172,174,178:通孔連接件 196:浮動端導體佈局圖案 400A,400B,400C,510,520,530,600,700A,700B,700C810,820,830:與或反相器單元 412,414:通孔連接件 465AU,465AM,465AD,465BU,465BM,465BD,465CU,465CM,465CD:端導體線切口圖案 500,600:積體電路 900:方法 910,920,930,940:操作 1000:電子設計自動化系統 1002:硬體處理器 1004:非暫時性電腦可讀儲存媒體 1006:電腦程式碼 1007:標準單元庫 1008:匯流排 1009:佈局圖 1010:I/O介面 1012:網路介面 1014:網路 1042:使用者介面 1100:製造系統 1120:設計工作室 1122:IC設計佈局圖 1130:遮罩工作室 1132:遮罩資料準備 1144:遮罩製造 1145:遮罩 1150:IC製造商/製造廠 1152:製造工具 1153:半導體晶圓 1160:IC裝置 gA1,gA2,gB1,gB2:閘極導體 nB2,nB1,nA1,nA2:n型電晶體 pB2,pB1,pA1,pA2:p型電晶體 VD,VD2,VG:通孔連接件 VDD,VSS:供應電壓 A-A’:切割平面 B-B’:切割平面 C-C’:切割平面 D-D’:切割平面 E-E’:切割平面 P- P’:切割平面 Q-Q’:切割平面 N-N’:切割平面
當結合隨附圖式來閱讀時,根據以下詳細描述將最好地理解本案的態樣。請注意,根據業內的標準做法,並未按比例繪製各種特徵。事實上,為了論述的清楚起見,可任意增大或減小各種特徵的尺寸。 第1A圖至第1B圖係根據一些實施例的與或反相器單元(And-Or-Inverter單元,AOI單元)的佈局圖。 第1C圖係根據一些實施例的如第1A圖至第1B圖中的佈局圖所指明的AOI單元的等效電路。 第2A圖至第2C圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元的橫截面視圖。 第3A圖至第3E圖係根據一些實施例的如第1A圖至第1B圖所指明的AOI單元的橫截面視圖。 第4A圖至第4C圖係根據一些實施例的AOI單元的佈局圖。 第5圖係根據一些實施例的具有三個AOI單元的積體電路的佈局圖。 第6圖係根據一些實施例的具有減小的單元高度的AOI單元的的佈局圖。 第7A圖至第7C圖係根據一些實施例的AOI單元的佈局圖。 第8A圖至第8C圖係根據一些實施例的具有三個AOI單元的積體電路的佈局圖。 第9圖係根據一些實施例的製造積體電路的方法的流程圖。 第10圖係根據一些實施例的電子設計自動化(electronic design automation,EDA)系統的方塊圖。 第11圖係根據一些實施例的積體電路(integrated circuit,IC)製造系統及與其相關聯的IC製造流程的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
42,44:電力軌
42C,44C:中心線
80n,80p:作用區結構
100:與或反相器單元
111,119:垂直單元邊界
112,118:水平單元邊界
122,124A,124B,124C,126A,126B:水平導電線132,134,135,136,138:端導體線
132p,132n,134p,134n,135p,135n,136p,138p,138n:導體區段
151,159:虛設閘極導體
162U,162M,164M,164D,165U,165M,165D,166U,166D,168U,168M:端導體線切口圖案
172,174,178:通孔連接件
196:浮動端導體佈局圖案
gA1,gA2,gB1,gB2:閘極導體
VD,VD2,VG:通孔連接件
A-A’:切割平面
B-B’:切割平面
C-C’:切割平面
D-D’:切割平面
E-E’:切割平面
P-P’:切割平面
Q-Q’:切割平面
N-N’:切割平面

Claims (20)

  1. 一種積體電路,包含: 在一第一方向上延伸的一第一電力軌及一第二電力軌; 在該第一方向上延伸的一第一作用區結構及一第二作用區結構;以及 一第一端導體線,該第一端導體線具有一第一導體區段及一第二導體區段,該第一導體區段及該第二導體區段在近側邊緣處以一第一分離距離分開且在垂直於該第一方向的一第二方向上延伸,其中該第一端導體線的該第一導體區段與該第一作用區結構相交且具有沿著該第二方向與該第一電力軌分開的一遠側邊緣,且其中該第一端導體線的該第二導體區段與該第二作用區結構相交且經由一第一通孔連接件連接至該第二電力軌; 其中沿著該第二方向自該第一電力軌的一中心線至該第一端導體線的該第一導體區段的一近側邊緣的一第一垂直距離不同於沿著該第二方向自該第二電力軌的一中心線至該第一端導體線的該第二導體區段的一近側邊緣的一第二垂直距離;且 其中該第一垂直距離比該第二垂直距離大一第一預定垂直距離,該第一預定垂直距離係該第一分離距離的一部分。
  2. 如請求項1所述之積體電路,其中沿著該第二方向自該第一電力軌的該中心線至該第一端導體線的該第一導體區段的一遠側邊緣的一垂直距離等於該第一分離距離。
  3. 如請求項1所述之積體電路,進一步包含: 一第二端導體線,該第二端導體線具有一第一導體區段及一第二導體區段,該第一導體區段及該第二導體區段在近側邊緣處以該第一分離距離分開且在該第二方向上延伸,其中該第二端導體線的該第一導體區段與該第一作用區結構相交且經由一第二通孔連接件連接至該第一電力軌,且其中該第二端導體線的該第二導體區段與該第二作用區結構相交且具有沿著該第二方向與該第二電力軌分開的一遠側邊緣; 其中沿著該第二方向自該第一電力軌的該中心線至該第二端導體線的該第一導體區段的一近側邊緣的一第三垂直距離不同於沿著該第二方向自該第二電力軌的該中心線至該第二端導體線的該第二導體區段的一近側邊緣的一第四垂直距離;且 其中該第三垂直距離比該第四垂直距離小等於該第一預定垂直距離的一量。
  4. 如請求項3所述之積體電路,其中沿著該第二方向自該第二電力軌的該中心線至該第二端導體線的該第二導體區段的一遠側邊緣的一垂直距離等於該第一分離距離。
  5. 如請求項1所述之積體電路,進一步包含: 一第三端導體線,該第三端導體線具有一第一導體區段及一第二導體區段,該第一導體區段及該第二導體區段在近側邊緣處以該第一分離距離分開且在該第二方向上延伸,其中該第三端導體線的該第一導體區段與該第一作用區結構相交且具有沿著該第二方向與該第一電力軌分開的一遠側邊緣,且其中該第三端導體線的該第二導體區段與該第二作用區結構相交且具有沿著該第二方向與該第二電力軌分開的一遠側邊緣; 其中沿著該第二方向自該第一電力軌的該中心線至該第三端導體線的該第一導體區段的一近側邊緣的一第三垂直距離不同於沿著該第二方向自該第二電力軌的該中心線至該第三端導體線的該第二導體區段的一近側邊緣的一第四垂直距離;且 其中該第三垂直距離不同於該第四垂直距離達等於該第一預定垂直距離的一量。
  6. 如請求項5所述之積體電路,其中沿著該第二方向自該第一電力軌的該中心線至該第三端導體線的該第一導體區段的一遠側邊緣的一第五垂直距離不同於沿著該第二方向自該第二電力軌的該中心線至該第三端導體線的該第二導體區段的一遠側邊緣的一第六垂直距離,且其中該第五垂直距離或該第六垂直距離均不等於該第一分離距離。
  7. 如請求項1所述之積體電路,進一步包含: 一第三端導體線,該第三端導體線具有一第一導體區段及一第二導體區段,該第一導體區段及該第二導體區段在近側邊緣處以一第二分離距離分開且在該第二方向上延伸,其中該第三端導體線的該第一導體區段與該第一作用區結構相交且具有沿著該第二方向與該第一電力軌分開的一遠側邊緣,且其中該第三端導體線的該第二導體區段與該第二作用區結構相交且具有沿著該第二方向與該第二電力軌分開的一遠側邊緣; 其中沿著該第二方向自該第一電力軌的該中心線至該第三端導體線的該第一導體區段的一近側邊緣的一第三垂直距離等於沿著該第二方向自該第二電力軌的該中心線至該第三端導體線的該第二導體區段的一近側邊緣的一第四垂直距離;且 其中該第一分離距離大於該第二分離距離。
  8. 如請求項7所述之積體電路,其中該第一分離距離與該第二分離距離之間的一比率大於或等於1.20。
  9. 如請求項7所述之積體電路,其中沿著該第二方向自該第一電力軌的該中心線至該第三端導體線的該第一導體區段的一遠側邊緣的一第五垂直距離等於沿著該第二方向自該第二電力軌的該中心線至該第三端導體線的該第二導體區段的一遠側邊緣的一第六垂直距離,且其中該第五垂直距離及該第六垂直距離中的每一者等於該第二分離距離。
  10. 如請求項1所述之積體電路,進一步包含: 一第三端導體線,該第三端導體線具有一第一導體區段及一第二導體區段,該第一導體區段及該第二導體區段在近側邊緣處以該第一分離距離分開且在該第二方向上延伸,其中該第三端導體線的該第一導體區段與該第一作用區結構相交且具有沿著該第二方向與該第一電力軌分開的一遠側邊緣,且其中該第三端導體線的該第二導體區段與該第二作用區結構相交且具有沿著該第二方向與該第二電力軌分開的一遠側邊緣; 其中沿著該第二方向自該第一電力軌的該中心線至該第三端導體線的該第一導體區段的一近側邊緣的一第三垂直距離等於沿著該第二方向自該第二電力軌的該中心線至該第三端導體線的該第二導體區段的一近側邊緣的一第四垂直距離;且 其中沿著該第二方向自該第一電力軌的該中心線至該第三端導體線的該第一導體區段的一遠側邊緣的一第五垂直距離小於該第一分離距離,且沿著該第二方向自該第二電力軌的該中心線至該第三端導體線的該第二導體區段的一遠側邊緣的一第六垂直距離小於該第一分離距離。
  11. 如請求項10所述之積體電路,其中量測為沿著該第二方向自該第一電力軌的該中心線至該第二電力軌的該中心線的一垂直距離的一單元高度在4.0H至6.0H的範圍內,其中該第五垂直距離及該第六垂直距離中的每一者在0.7H至0.8H的範圍內,且其中該第一分離距離在0.95H至1.05H的範圍內。
  12. 一種積體電路,包含: 在一第一方向上延伸的一第一電力軌及一第二電力軌; 在一第一方向上延伸的一第一作用區結構及一第二作用區結構; 一第一端導體線,該第一端導體線具有一第一導體區段及一第二導體區段,該第一導體區段及該第二導體區段在近側邊緣處以一第一分離距離分開且在垂直於該第一方向的一第二方向上延伸,其中該第一端導體線的該第一導體區段與該第一作用區結構相交且具有沿著該第二方向與該第一電力軌分開的一遠側邊緣,且其中該第一端導體線的該第二導體區段與該第二作用區結構相交且經由一第一通孔連接件連接至該第二電力軌; 一第二端導體線,該第二端導體線具有一第一導體區段及一第二導體區段,該第一導體區段及該第二導體區段在近側邊緣處以該第一分離距離分開且在該第二方向上延伸,其中該第二端導體線的該第一導體區段與該第一作用區結構相交且經由一第二通孔連接件連接至該第一電力軌,且其中該第二端導體線的該第二導體區段與該第二作用區結構相交且具有沿著該第二方向與該第二電力軌分開的一遠側邊緣; 一第一水平單元邊界,該第一水平單元邊界在該第一方向上延伸且鄰接該第二通孔連接件的一外邊緣; 一第二水平單元邊界,該第二水平單元邊界在該第一方向上延伸且鄰接該第一通孔連接件的一外邊緣; 其中沿著該第二方向自該第一水平單元邊界至該第一端導體線的該第一導體區段的一近側邊緣的一垂直距離大於沿著該第二方向自該第二水平單元邊界至該第一端導體線的該第二導體區段的一近側邊緣的一垂直距離;且 其中沿著該第二方向自該第一水平單元邊界至該第二端導體線的該第一導體區段的一近側邊緣的一垂直距離小於沿著該第二方向自該第二水平單元邊界至該第二端導體線的該第二導體區段的一近側邊緣的一垂直距離。
  13. 如請求項12所述之積體電路,進一步包含: 一第三端導體線,該第三端導體線具有一第一導體區段及一第二導體區段,該第一導體區段及該第二導體區段在近側邊緣處以一第二分離距離分開且在該第二方向上延伸,其中該第三端導體線的該第一導體區段與該第一作用區結構相交且具有沿著該第二方向與該第一電力軌分開的一遠側邊緣,且其中該第三端導體線的該第二導體區段與該第二作用區結構相交且具有沿著該第二方向與該第二電力軌分開的一遠側邊緣; 其中沿著該第二方向自該第一水平單元邊界至該第二端導體線的該第一導體區段的一近側邊緣的一垂直距離等於沿著該第二方向自該第二水平單元邊界至該第三端導體線的該第二導體區段的一近側邊緣的一垂直距離;且 其中該第一分離距離大於該第二分離距離。
  14. 如請求項12所述之積體電路,進一步包含: 一第三端導體線,該第三端導體線具有一第一導體區段及一第二導體區段,該第一導體區段及該第二導體區段在近側邊緣處以該第一分離距離分開且在該第二方向上延伸,其中該第三端導體線的該第一導體區段與該第一作用區結構相交且具有沿著該第二方向與該第一電力軌分開的一遠側邊緣,且其中該第三端導體線的該第二導體區段與該第二作用區結構相交且具有沿著該第二方向與該第二電力軌分開的一遠側邊緣; 其中沿著該第二方向自該第一水平單元邊界至該第二端導體線的該第一導體區段的一近側邊緣的一垂直距離等於沿著該第二方向自該第二水平單元邊界至該第三端導體線的該第二導體區段的一近側邊緣的一垂直距離;且 其中沿著該第二方向自該第一水平單元邊界至該第三端導體線的該第一導體區段的一遠側邊緣的一垂直距離小於該第一分離距離,且沿著該第二方向自該第二水平單元邊界至該第三端導體線的該第二導體區段的一遠側邊緣的一垂直距離小於該第一分離距離。
  15. 如請求項12所述之積體電路,進一步包含: 在該第二方向上延伸的兩個垂直單元邊界,其中該些垂直單元邊界中的每一者經過該第一作用區結構中的一第一單元邊界隔離區及該第二作用區結構中的一第二單元邊界隔離區;且 其中該第一端導體線及該第二端導體線平行定位於該些垂直單元邊界之間。
  16. 一種方法,包含以下步驟: 製造在一第一方向上延伸的一第一作用區結構及一第二作用區結構; 製造在垂直於該第一方向的一第二方向上延伸的一第一端導體線及一第二端導體線; 蝕刻該第一端導體線及該第二端導體線,藉此將該第一端導體線及該第二端導體線中的每一者分成與該第一作用區結構相交的一第一導體區段及與該第二作用區結構相交的一第二導體區段; 形成在該第一方向上延伸的一第一電力軌及一第二電力軌; 將該第一端導體線的該第二導體區段經由一第一通孔連接件連接至該第二電力軌;且 其中自該第一電力軌的一中心線至該第一端導體線的該第一導體區段的一近側邊緣的一垂直距離比自該第二電力軌的一中心線至該第一端導體線的該第二導體區段的一近側邊緣的一垂直距離大一第一預定垂直距離,該第一預定垂直距離係一第一分離距離的一部分,該第一分離距離將該第一端導體線的該第一導體區段及該第二導體區段分開。
  17. 如請求項16所述之方法,進一步包含以下步驟: 將該第二端導體線的該第一導體區段經由一第二通孔連接件連接至該第一電力軌;且 其中自該第一電力軌的該中心線至該第二端導體線的該第一導體區段的一近側邊緣的一垂直距離比自該第二電力軌的該中心線至該第二端導體線的該第二導體區段的一近側邊緣的一垂直距離小該第一預定垂直距離。
  18. 如請求項16所述之方法,進一步包含以下步驟: 製造在該第二方向上延伸的一第三端導體線; 蝕刻該第三端導體線且將該第三端導體線分成與該第一作用區結構相交的一第一導體區段及與該第二作用區結構相交的一第二導體區段;且 其中自該第一電力軌的該中心線至該第三端導體線的該第一導體區段的一近側邊緣的一垂直距離不同於自該第二電力軌的該中心線至該第三端導體線的該第二導體區段的一近側邊緣的一垂直距離達該第一預定垂直距離。
  19. 如請求項16所述之方法,進一步包含以下步驟: 製造在該第二方向上延伸的一第三端導體線; 蝕刻該第三端導體線且將該第三端導體線分成與該第一作用區結構相交的一第一導體區段及與該第二作用區結構相交的一第二導體區段;且 其中自該第一電力軌的該中心線至該第三端導體線的該第一導體區段的一近側邊緣的一垂直距離不同於自該第二電力軌的該中心線至該第三端導體線的該第二導體區段的一近側邊緣的一垂直距離。
  20. 如請求項16所述之方法,進一步包含以下步驟: 製造在該第二方向上延伸的一第三端導體線; 蝕刻該第三端導體線且將該第三端導體線分成與該第一作用區結構相交的一第一導體區段及與該第二作用區結構相交的一第二導體區段; 其中自該第一電力軌的該中心線至該第三端導體線的該第一導體區段的一近側邊緣的一垂直距離等於自該第二電力軌的該中心線至該第三端導體線的該第二導體區段的一近側邊緣的一垂直距離;且 其中將該第一端導體線的該第一導體區段及該第二導體區段分開的該第一分離距離大於將該第三端導體線的該第一導體區段及該第二導體區段分開的該第二分離距離。
TW111129455A 2021-11-12 2022-08-05 積體電路 TW202320176A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/525,173 US11853670B2 (en) 2021-11-12 2021-11-12 Arrangement of source or drain conductors of transistor
US17/525,173 2021-11-12

Publications (1)

Publication Number Publication Date
TW202320176A true TW202320176A (zh) 2023-05-16

Family

ID=85431352

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111129455A TW202320176A (zh) 2021-11-12 2022-08-05 積體電路

Country Status (3)

Country Link
US (2) US11853670B2 (zh)
CN (1) CN115799261A (zh)
TW (1) TW202320176A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220244465A1 (en) 2020-10-07 2022-08-04 Nubis Communications, Inc. Data processing systems including optical communication modules

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
KR20210060695A (ko) * 2019-11-18 2021-05-27 삼성전자주식회사 반도체 소자
KR20210133444A (ko) * 2020-04-29 2021-11-08 삼성전자주식회사 중앙의 파워 레일들을 갖는 스탠다드 셀 및 스탠다드 셀 블록
KR20220019178A (ko) * 2020-08-07 2022-02-16 삼성전자주식회사 반도체 소자

Also Published As

Publication number Publication date
US11853670B2 (en) 2023-12-26
US20240095433A1 (en) 2024-03-21
US20230154990A1 (en) 2023-05-18
CN115799261A (zh) 2023-03-14

Similar Documents

Publication Publication Date Title
US10776557B2 (en) Integrated circuit structure
US10878161B2 (en) Method and structure to reduce cell width in integrated circuits
US20220359367A1 (en) Cell having stacked pick-up region
US11675961B2 (en) Engineering change order cell structure having always-on transistor
US20210391318A1 (en) Integrated circuit with backside power rail and backside interconnect
US20240095433A1 (en) Arrangement of source or drain conductors of transistor
US20240143888A1 (en) Integrated circuit and method of forming the same
US20240096866A1 (en) Active zones with offset in semiconductor cell
US20230402374A1 (en) Signal conducting line arrangements in integrated circuits
TWI813010B (zh) 積體電路及其製造方法
TWI836866B (zh) 積體電路及其製作方法
US11967596B2 (en) Power rail and signal conducting line arrangement
US20240088147A1 (en) Integrated circuit having transistors with different width source and drain terminals
US11699015B2 (en) Circuit arrangements having reduced dependency on layout environment
US20230067311A1 (en) Integrated circuits having stacked transistors and backside power nodes
US20230307386A1 (en) Boundary cells adjacent to keep-out zones
US20240070364A1 (en) Circuit cells having power grid stubs
TW202409886A (zh) 積體電路及其製作方法
CN115036304A (zh) 集成电路器件及其制造方法