TW202309667A - 倍縮光罩外殼 - Google Patents

倍縮光罩外殼 Download PDF

Info

Publication number
TW202309667A
TW202309667A TW111107253A TW111107253A TW202309667A TW 202309667 A TW202309667 A TW 202309667A TW 111107253 A TW111107253 A TW 111107253A TW 111107253 A TW111107253 A TW 111107253A TW 202309667 A TW202309667 A TW 202309667A
Authority
TW
Taiwan
Prior art keywords
reticle
base
electrostatic discharge
material layer
discharge material
Prior art date
Application number
TW111107253A
Other languages
English (en)
Inventor
廖啟宏
施柏銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202309667A publication Critical patent/TW202309667A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • G03F7/70708Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67353Closed carriers specially adapted for a single substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67359Closed carriers specially adapted for containing masks, reticles or pellicles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67396Closed carriers characterised by the presence of antistatic elements
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05FSTATIC ELECTRICITY; NATURALLY-OCCURRING ELECTRICITY
    • H05F1/00Preventing the formation of electrostatic charges
    • H05F1/02Preventing the formation of electrostatic charges by surface treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Epidemiology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Environmental & Geological Engineering (AREA)
  • Library & Information Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種倍縮光罩外殼包括:一基座,該基座包括一第一表面;一蓋,該蓋包括一第二表面且設置於該基座上,其中該基座及該蓋在之間形成一內部空間,該內部空間包括一倍縮光罩;及設置於該第一表面上的一靜電放電材料層,其中該靜電放電材料減小該倍縮光罩上的靜電電荷。

Description

微影術系統的倍縮光罩外殼
微影術設備將圖案自圖案化裝置(例如,光罩)投影於設置於半導體基板上之輻射敏感材料(抗蝕劑)層上。當光罩並不使用(或儲存)或自儲存器轉移至微影術設備,諸如步進器或掃描儀時,光罩藉由置放於罩幕殼體(艙)中而恰當地受到保護從而免受諸如灰塵或粒子的污染物影響。
應理解,以下揭示內容提供用於實施本揭露之不同特徵的許多不同實施例或實例。下文描述組件及配置之特定實施例或實例以簡化本揭露。當然,這些組件及配置僅為實例且並非意欲為限制性的。舉例而言,元件之尺寸不限於所揭示之範圍或值,而是可取決於處理條件及/或裝置的所要性質。此外,在以下描述中第一特徵於第二特徵上方或上的形成可包括第一及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可經形成從而插入於第一特徵與第二特徵之間使得第一特徵及第二特徵可不直接接觸的實施例。各種特徵為了簡單及清楚可以不同比例尺來任意地繪製。在隨附圖式中,一些層/特徵可為了簡單被省略。
另外,空間相對術語,諸如「……下面」、「下方」、「下部」、「……上方」、「上部」及類似者本文中可出於易於描述而使用以描述如諸圖中圖示的一個元素或特徵與另一(些)元素或特徵之關係。空間相對術語意欲涵蓋裝置之使用或操作中除了諸圖中描繪之定向外的不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且本文中使用之空間相對描述詞可同樣經因此解譯。此外,術語「由……製成」可意謂「包含」或「由……組成」。另外,在以下製造製程中,在所描述之操作中間可存在一或多個額外操作,且操作之次序可經改變。在本揭露中,片語「A、B及C中之至少一者」意謂A、B、C、A+B、A+C、B+C,或A+B+C中的一者,且並不意謂來自A的一者、來自B的一者及來自C的一者,除非以其他方式解釋。
本揭露通常係關於遠紫外線(extreme ultraviolet,EUV)微影術系統及方法。本文中所揭示之實施例係針對一種用於運輸且儲存光罩或坯料基板之遠紫外線(extreme ultraviolet,EUV)倍縮光罩艙的改良式設計,且更具體而言係針對一種EUV倍縮光罩艙,該EUV倍縮光罩艙經設計以減小由EUV倍縮光罩處置之倍縮光罩上的殘餘靜電電荷且藉此限制歸因於倍縮光罩上之殘餘靜電電荷吸附至倍縮光罩的粒子。因此,當倍縮光罩定位於EUV倍縮光罩艙上時,限制顆粒物沈積於倍縮光罩上。藉由減小倍縮光罩上的顆粒物,倍縮光罩曝光期間的誤差經減小,且遠紫外線微影術系統(例如,曝光工具的)的污染物亦被減小。
使用光微影術(例如,遠紫外線光微影術或將13.5 nm波長用於圖案化的EUV光微影術)圖案化的半導體晶片需要罩幕或光罩(亦被稱作「倍縮光罩」),該罩幕或光罩含有於標準化載體中從而傳送至一潔淨室中的不同位置或不同潔淨室中用於不同製程。舉例而言,坯料基板使用手動或機械方法在標準化載體中傳送至不同方位或潔淨室用於清洗及罩幕製造。所製造之罩幕亦在標準化載體內傳送至不同方位或潔淨室用於光微影術製程,或在使用之前或之後儲存。罩幕載體(亦被稱作罩幕容器、罩幕艙或罩幕盒)包括圍封於外部艙內的內部艙。內部艙接觸坯料基板或罩幕,且包括內部艙蓋及內部艙基座。內部艙蓋及內部艙的內部艙基座經設計而以高準確度彼此擬合。
半導體基板處理系統包括載入埠,該載入埠用以將包括倍縮光罩之罩幕載體載入至半導體基板處理系統或自半導體基板處理系統移除罩幕載體。罩幕載體使用諸如機械臂的機械設備來處置。機械臂包括多軸機器人操縱器且經組態用於處置罩幕載體。機械臂開啟外部艙以擷取內部艙。內部艙經提供至半導體基板處理系統的後續載物台以供儲存,直至含有於內部艙中的倍縮光罩被需要。當需要倍縮光罩時,開啟內部艙,且倍縮光罩使用機械臂來擷取。
機械臂包括具有一或多個夾鉗電極的靜電卡盤(electrostatic chuck、e-chuck),在接收電壓時,一或多個夾鉗電極提供靜電吸力以將倍縮光罩緊固至靜電卡盤。使用靜電卡盤,機械臂能夠藉由靜電吸附力抓取倍縮光罩且將倍縮光罩提供至半導體基板處理系統的後續載物台(例如,倍縮光罩載物台)。
在使用後,機械臂將倍縮光罩傳回至內部艙。如此做,機械臂定位於內部艙的基座上方,且靜電吸附力被釋放。此情形自機械臂的抓取釋放倍縮光罩,且倍縮光罩置放於內部艙上。
在釋放之後,倍縮光罩在上面具有殘餘靜電電荷。殘餘靜電電荷吸附顆粒物。舉例而言,可存在於倍縮光罩附近(例如,內部艙蓋及/或內部艙基座)中的顆粒物可吸附至倍縮光罩上且沈積於倍縮光罩上。這些粒子一般而言污染內部艙的環境及微影術系統的環境,且可損害罩幕或坯料基板上的圖案或阻斷EUV輻射,從而引起製造誤差。粒子亦可損害罩幕,且因此增大生產成本、增大製造時間且要求昂貴的系統用於檢查罩幕中的缺陷。
倍縮光罩(光罩或圖案化裝置)由薄皮保護免受粒子污染物影響。雖然倍縮光罩具備薄皮以避免粒子(污染物)沈積於倍縮光罩的圖案化表面上,但仍有益的是進一步限制粒子被吸附至倍縮光罩。這些粒子可將缺陷引入至投影於半導體基板上的圖案中。所要的是限制這些粒子沈積於倍縮光罩及/或薄皮上。
保護顆粒物不污染所關注物件(例如,罩幕)的需要在許多應用領域中被需要,該些應用包括諸如遠紫外線微影術之半導體製造中的應用。微影術設備將圖案自圖案化裝置(例如,光罩)投影於設置於半導體基板上之輻射敏感材料(抗蝕劑)層上。由微影術設備使用以將圖案投影於基板上的輻射波長判定可形成於該基板上之特徵的最小大小。相較於習知光微影術設備(其可例如使用具有193 nm之波長的電磁輻射),使用遠紫外線輻射的微影術設備可用以在基板上形成較小特徵,遠紫外線輻射係具有在範圍4至20 nm內之波長的電磁輻射。
應注意,儘管本文中參看EUV微影術系統來論述實施例,但實施例並不在此方面受限。根據本文中所論述之實施例,罩幕艙可用於其他類型之微影術系統(例如,深紫外線(deep ultraviolet,DUV)微影術系統)中而不偏離本揭露的範疇。另外,本文中所論述之實施例並不限於微影術系統的任何特定載物台,且同樣適用於處置倍縮光罩之微影術系統的任何載物台。本文中所揭示之實施例亦可用於被要求以使靜電電荷放電的其他應用領域中。
第1A圖為EUV微影術系統101之示意性且圖解視圖。EUV微影術系統101包括用以產生EUV光的EUV輻射源100、諸如掃描儀的曝光工具200,及激發雷射源設備300。如第1A圖中所繪示,在一些實施例中,EUV輻射源100及曝光工具200安設於潔淨室的主地板MF上,同時激發源設備300安設於定位於主地板下方的基座地板BF上。EUV輻射源100及曝光工具200中的每一者分別經由減震器DP1及DP2置放於機架板PP1及PP2上。EUV輻射源100及曝光工具200由耦接機構耦接至彼此,該耦接機構可包括聚焦單元。
微影術系統為遠紫外線(extreme ultraviolet,EUV)微影術系統,該遠紫外線微影術系統經設計以由EUV光(或EUV輻射)曝光光阻層。光阻層為對於EUV光敏感的材料。EUV微影術系統使用EUV輻射源100來產生EUV光,諸如具有範圍為約1 nm與約100 nm之波長的EUV光。在一個特定實例中,EUV輻射源100產生EUV光,該EUV光具有中心為約13.5 nm的波長。在本實施例中,EUV輻射源100利用雷射產生電漿(laser-produced plasma,LPP)機制來產生EUV輻射。
曝光工具200包括諸如凸面/凹入/平坦鏡面的各種反射光學組件、包括罩幕載物台的罩幕固持機構及晶圓固持機構。藉由EUV輻射源100產生的EUV輻射EUV由反射光學組件導引於緊固在罩幕載物台上的罩幕上。在一些實施例中,罩幕載物台包括靜電卡盤(electrostatic chuck、e-chuck)以緊固罩幕。因為氣體分子吸收EUV光,所以EUV微影術圖案化的微影術系統維持於真空或低壓力環境以避免EUV強度損耗。
第1B圖為根據本揭露之實施例的曝光工具200之簡化示意圖,從而繪示經光阻劑塗佈之基板211藉由EUV光之圖案化射束的曝光。曝光工具200為積體電路微影術工具,諸如步進器,掃描儀,步進及掃描系統,直寫系統,例如藉由EUV光射束照射諸如倍縮光罩205c之圖案化光學件以產生圖案化射束的具備一或多個光學件205a、205b的使用觸點及/或近接罩幕等的裝置,及用於將圖案化射束投影於基板211上的一或多個減小投影光學件205d、205e。一或多個光學件205a、205b提供具有所要橫截面形狀及所要角形分佈的EUV光束。倍縮光罩205c由薄皮保護,該薄皮由薄皮框架固持於適當位置。倍縮光罩205c反射且圖案化EUV光束。
簡潔地參看第1C圖,相對詳細地圖示安設於倍縮光罩205c上的示意性薄皮總成250。薄皮總成250包括薄皮252及薄皮框架254。倍縮光罩205c具有圖案化表面256。薄皮框架254圍繞薄皮252之周邊部分支撐薄皮252,且可移除地吸附至倍縮光罩205c。
薄皮252將污染物,例如污染物粒子258固持於距倍縮光罩205c之圖案化表面256的一距離,使得污染粒子258並非在EUV輻射射束之焦平面中,且因此不成像至基板211上(第1B圖)。
回看第1B圖,在自倍縮光罩反射之後,EUV光之圖案化射束經提供至一或多個光學件205a、205b,且又投影至由機械總成(例如,基板載物台)固持的基板211上。在一些實施例中,一或多個光學件205a、205b將減小因數應用至輻射射束,從而形成具有特徵的影像,該些特徵小於倍縮光罩上之對應特徵。機械總成可經提供用於在基板211與倍縮光罩205c之間產生控制相對移動。
EUV微影術系統可例如以掃描模式使用,其中卡盤及機械總成(例如,基板台)經同步掃描,同時賦予至輻射射束之圖案經投影至基板211上(亦即,動態曝光)。基板台相對於卡盤之速率及方向由曝光工具200之縮倍及影像翻轉特性來判定。入射於基板211上之EUV輻射之圖案化射束包含輻射帶。輻射帶被稱作曝光狹縫。在掃描曝光期間,基板台及卡盤之移動係使得曝光狹縫在基板211之曝光場上方行進。如第1B圖中進一步繪示,EUVL工具包括EUV輻射源100,該EUV輻射源100包括腔室105中ZE發射EUV光的電漿,該ZE發射EUV光由收集器110沿著路徑收集並反射至曝光工具200中以照射基板211。
如本文中所使用,術語「光學件」意謂經廣泛建構以包括且並非有必要限於反射及/或透射入射光及/或對入射光操作的一或多個組件,且包括但不限於一或多個透鏡、視窗、濾光器、楔形物、稜鏡、稜鏡光柵、光柵、透射纖維、校準器、漫射器、均質器、偵測器及其他儀器組件、孔、軸稜鏡及鏡面,該些鏡面包括多層鏡、正入射鏡、臨界入射鏡、鏡面反射器、漫射反射器及其組合。此外,除非以其他方式指定,否則術語「光學件」如本文中所使用並非意謂限於如下組件:單獨地在一或多個特定波長範圍內,諸如以EUV輸出光波長、輻射雷射波長、適合於測量之波長或任何其他特定波長操作。
在本揭露中,術語罩幕、光罩及倍縮光罩互換地使用。在本實施例中,罩幕為反射罩幕。罩幕之一個例示性結構包括具有合適材料,諸如低熱膨脹材料的材料或熔融石英的基板。在各種實例中,材料包括經TiO2摻雜的SiO2,或具有低熱膨脹係數的其他合適材料。罩幕包括沈積於基板上的多個反射多層。多個層包括複數個膜對,諸如鉬-矽(Mo/Si)膜對(例如,每一膜對中之矽層上方或下方的鉬層)。替代地,多個層可包括鉬-鈹(Mo/Be)膜對,或可組態以高度反射EUV光的其他合適材料。罩幕可進一步包括設置於ML上用於保護的頂蓋層,諸如釕(Ru)。罩幕進一步包括沈積於多個層上方的吸收層,諸如硼氮化鉭(TaBN)層。吸收層經圖案化以界定積體電路(integrated circuit,IC)的層。替代地,另一反射層可沈積於多個層上方,且經圖案化以界定積體電路的層,藉此形成EUV相移罩幕。
在本實施例中,半導體基板為半導體晶圓,諸如矽晶圓或待圖案化的其他類型之晶圓。本實施例中,半導體基板塗佈有對EUV光敏感的光阻層。包括上文描述之那些的各種組件整合在一起且可操作以執行微影術曝光製程。
微影術系統可進一步包括其他模組,或整合有其他模組(或與其他模組耦接)。如第1A圖中所繪示,EUV輻射源100包括由腔室105圍封的靶材液滴產生器115及LPP收集器110。靶材液滴產生器115產生複數個靶材液滴DP。在一些實施例中,靶材液滴DP為錫(Sn)液滴。在一些實施例中,錫液滴各自具有約30微米(µm)的直徑。在一些實施例中,錫液滴DP以約50個液滴/秒的速率產生,且以約70公尺/秒的速度引入至激發區域ZE中。其他材料亦可用於靶材液滴,例如含有液體材料的錫,該液體材料係諸如含有錫或鋰(Li)的共晶合金。
由激發雷射源設備300產生的激發雷射LR2為脈衝雷射。在一些實施例中,激發層包括預加熱雷射及主雷射。預加熱雷射脈衝用以加熱(或預加熱)靶材液滴以產生低密度靶材羽流,該低密度靶材羽流由主雷射脈衝隨後加熱(或重新加熱),從而產生EUV光的增大之發射。在各種實施例中,預加熱雷射脈衝具有約100 µm或以下的點大小,且主雷射脈衝具有約200至300 µm的點大小。
雷射脈衝LR2由激發雷射源300產生。雷射源300可包括雷射產生器310、雷射導引光學件320及聚焦設備330。在一些實施例中,雷射產生器310包括二氧化碳(CO2)或摻雜有釹之釔鋁石榴石(Nd:YAG)雷射源。由雷射產生器300產生之雷射光LR1由雷射導引光學件320導引,且由聚焦設備330聚焦至激發雷射LR2中,且接著引入至EUV輻射源100中。
雷射光LR2經由視窗(或透鏡)導引至激發區域ZE中。視窗採用對於雷射射束大體透明的合適材料。脈衝雷射之產生與靶材液滴之產生同步。隨著靶材液滴移動通過激發區域,預脈衝加熱靶材液滴且將靶材液滴變換成低密度靶材羽流。預脈衝與主脈衝之間的延遲經控制以允許靶材羽流形成且擴展至最佳大小及幾何形狀。當主脈衝加熱靶材羽流時,產生高溫度電漿。電漿發射EUV輻射,該EUV輻射藉由收集器鏡110收集。收集器110具有反射且聚焦EUV輻射用於光微影術曝光製程的反射表面。在一些實施例中,液滴捕獲器120與靶材液滴產生器115相對地安設。液滴捕獲器120用於捕獲額外靶材液滴。舉例而言,一些靶材液滴可由雷射脈衝故意漏失。
收集器110包括恰當塗層材料及形狀以充當鏡用EUV收集、反射及聚焦。在一些實施例中,收集器110經設計以具有橢圓體幾何形狀。在一些實施例中,收集器110之塗層材料類似於EUV罩幕的反射多層。在一些實例中,收集器110之塗層材料包括多個層(諸如複數個Mo/Si膜對),且可進一步包括塗佈於多個層上以大體上反射EUV光的頂蓋層(諸如,Ru)。在一些實施例中,收集器110可進一步包括經設計以使所導引之雷射射束有效地散射至收集器110上的光柵結構。舉例而言,氮化矽層塗佈於收集器110上,且經圖案化以在一些實施例中具有光柵圖案。
在此類EUV輻射源設備中,由雷射應用引起之電漿產生殘渣,諸如歸因於由雷射射束漏失的錫液滴之殘渣液滴,或錫液滴並不與雷射射束充分反應,或一些錫自電漿形成製程剩餘。有必要的是防止殘渣或其他材料積聚於收集器110上,且又限制殘渣脫離腔室105且進入曝光工具200。
如第1A圖中所繪示,在一些實施例中,緩衝器氣體經由收集器110中的孔徑自第一緩衝器氣體供應源130供應,脈衝雷射由該孔遞送至錫液滴中。在一些實施例中,緩衝器氣體為H 2、He、Ar、N 2或另一惰性氣體。在某些實施例中,使用H 2,此係由於由緩衝器氣體之離子化產生的H自由基可用於清洗用途。緩衝器氣體亦可經由一或多個第二緩衝器氣體供應源135朝向收集器110及/或圍繞收集器110之邊緣提供。另外,腔室105包括一或多個氣體出口140,使得緩衝器氣體經排出至腔室105外部。
氫氣對於EUV輻射具有低吸收。到達收集器110之塗佈表面的氫氣與液滴之金屬進行化學反應,從而形成氫化物,例如金屬氫化物。當錫(Sn)用作液滴時,形成為EUV產生製程之氣態副產物的錫烷(SnH 4)。氣態SnH 4接著經由出口140泵汲出。然而,難以將所有氣態SnH 4自腔室排出且防止SnH4進入曝光工具200。
為了捕捉SnH 4或其他殘渣,一或多個殘渣收集機構或裝置150用於腔室105中。如第1A圖中所繪示,一或多個殘渣收集機構或裝置150沿著光軸A1在激發區域ZE與EUV輻射源100的輸出埠160之間設置。
第2圖圖示根據實施例的倍縮光罩處置系統201之不同載物台(或站)的佈局。在一些實施例中,倍縮光罩處置系統201用於遠紫外線(extreme ultraviolet,EUV)微影術系統中。倍縮光罩處置系統201結合曝光工具200 (第1B圖)使用,從而收納且儲存倍縮光罩205c,該倍縮光罩205c接著提供至曝光工具200從而曝光至EUV輻射射束。如所圖示,倍縮光罩處置系統201包括載入埠202、非真空機器人(out of vacuum robot,OVR) 204、倍縮光罩背側檢測(reticle backside inspection,RBI)載物台206、條碼讀取器208、真空機器人(in-vacuum robot,IVR) 210、真空庫(in-vacuum library,IVL) 212、快速交換裝置(rapid exchange device,RED) 214及倍縮光罩載物台(reticle stage,RS)216 (例如,包括倍縮光罩205c)。倍縮光罩處置系統201可包括一或多個額外載物台,或載物台中之一些可被省略,且實施例並不限於此。載入埠202及非真空機器人(out of vacuum robot,OVR) 204定位於環境壓力環境中,同時倍縮光罩背側檢測(reticle backside inspection,RBI)載物台206、條碼讀取器208、真空機器人(in-vacuum robot,IVR) 210、真空庫(in-vacuum library,IVL) 212、快速交換裝置(rapid exchange device,RED) 214及倍縮光罩載物台(reticle stage,RS) 216定位於真空環境中。真空環境與周圍壓力環境之間的存取由載入鎖定腔室218控制。舉例而言,載入鎖定腔室218定位於非真空機器人(out of vacuum robot,OVR) 204與真空庫(in-vacuum library,IVL) 212之間。
載入埠202充當至倍縮光罩處置系統201的入口點,倍縮光罩(例如,第1C圖中之倍縮光罩205c)經由入口點被引入至倍縮光罩處置系統201中。在實施例中,包括薄皮252之倍縮光罩205c包封於潔淨濾光器艙(clean filter pod,CFP)中,該艙又圍封於亦稱作倍縮光罩標準製造介面 (「reticle standard manufacturing interface,SMIF」)艙或RSP的倍縮光罩載體中。倍縮光罩標準製造介面艙准許例如在周圍環境中在倍縮光罩處置系統201外部處置且運輸倍縮光罩205c。倍縮光罩標準製造介面艙經塑形且設定大小以(或以其他方式用以)收納於載入埠202中。
在一些實施中,相鄰於載入埠202為RSP庫。在一些實施例中,倍縮光罩標準製造介面艙由載入埠202置放於RSP庫中。RSP庫為針對各自包括倍縮光罩之多個倍縮光罩標準製造介面艙的貯藏或儲存。當需要特定倍縮光罩時,自RSP庫擷取含有所要求倍縮光罩的倍縮光罩標準製造介面艙。
在一些實施例中,非真空機器人(out of vacuum robot,OVR) 204擷取倍縮光罩標準製造介面艙,該標準製造介面艙含有所要求之倍縮光罩從而提供倍縮光罩至載入鎖定腔室218。在一些實施例中,非真空機器人(out of vacuum robot,OVR) 204亦用以開啟倍縮光罩標準製造介面艙且移除包括倍縮光罩的潔淨濾光器艙。真空泵連接至載入鎖定腔室218,且在載入鎖定腔室218內部形成真空環境。載入鎖定腔室218由用於控制載入鎖定腔室218中之壓力的兩個閥包夾。載入鎖定腔室218提供處於環境壓力之倍縮光罩處置系統201之載物台與處於真空之倍縮光罩處置系統201之載物台之間的介面,使得遠紫外線微影術罩幕可在真空壓力條件與真空條件之間傳送。
倍縮光罩背側檢測(reticle backside inspection,RBI)載物台206相鄰於載入鎖定腔室218定位,且包括裝備以量測且清洗倍縮光罩205c的背側,從而限制倍縮光罩205c之背側上的粒子。在實施例中,倍縮光罩背側檢測(reticle backside inspection,RBI)載物台206包括以下各者中的一或多者:用於偵測倍縮光罩205c之背側上之粒子的光學系統、用於量測粒子之大小的量測系統、用於清洗倍縮光罩205c之背側的清洗系統,及用於檢測倍縮光罩205c之背側的檢測系統。倍縮光罩205c在附接至卡盤時保持為非常平坦的。因此,亦重要的是防止相對大的粒子(例如,1 μm)或較小粒子層遷移至倍縮光罩205c的背側,該背側為倍縮光罩205c的接觸卡盤之表面。背側上之粒子可使倍縮光罩205c失真,且引起聚焦及疊對誤差。背側粒子亦可在曝光期間遷移至緊固倍縮光罩205c的支撐結構,且引起由支撐結構固持之所有後續倍縮光罩的類似問題。條碼讀取器208識別倍縮光罩205c及形成於上面的圖案。
真空機器人(in-vacuum robot,IVR) 210相鄰於載入鎖定腔室218定位,且操作以將倍縮光罩205c (或根據具體狀況,包括潔淨濾光器艙或潔淨濾光器艙的倍縮光罩標準製造介面在由非真空機器人204移除情況下)自載入鎖定腔室218運輸至真空庫(in-vacuum library,IVL) 212從而在使用之前臨時儲存倍縮光罩205c。在一些實施例中,當需要特定倍縮光罩時,真空機器人(in-vacuum robot,IVR) 210自真空庫(in-vacuum library,IVL) 212擷取所要倍縮光罩,且將倍縮光罩提供至快速交換裝置(rapid exchange device,RED) 214。在一些其他實施例中,快速交換裝置(rapid exchange device,RED) 214自真空庫(in-vacuum library,IVL) 212擷取所要倍縮光罩。
在使用微影術設備製造積體電路期間,不同倍縮光罩用以產生不同電路圖案以形成於積體電路的不同層上。因此,在製造積體電路之不同層期間,必須改變不同倍縮光罩。快速交換裝置(rapid exchange device,RED) 214 (亦被稱作倍縮光罩交換裝置)用以在最小可能時間量中在微影術製程期間改變倍縮光罩。
倍縮光罩載物台216包括支撐結構,該支撐結構例如為用於在微影術製程期間將倍縮光罩205c緊固於適當位置的靜電卡盤。薄皮252定位於倍縮光罩205c上方,從而保護倍縮光罩205c不受粒子、灰塵、損害及/或污染物影響。
第3A圖為倍縮光罩艙350的透視圖之分解視圖。第3B圖為倍縮光罩艙350的示意性橫截面圖。由倍縮光罩艙350,例如SMIF艙將倍縮光罩(例如,倍縮光罩205c)儲存、運輸且載入至微影術系統中。倍縮光罩艙350為包括一者在另一者內部的兩個艙,該兩個艙保護倍縮光罩不受污染物影響。倍縮光罩艙350具有圍封內部艙的外部艙。內部艙直接固持倍縮光罩。包括倍縮光罩之雙艙經提供至倍縮光罩處置系統201(第2圖)。在接收到時,倍縮光罩處置系統201初始地開啟外部艙以擷取包括倍縮光罩的內部艙。含有倍縮光罩的內部艙經臨時儲存,直至倍縮光罩被需要以供使用。
如所圖示,倍縮光罩205c儲存於內部艙354中。內部艙354包括內部艙基座356及內部艙蓋355。倍縮光罩205c面向下儲存於內部艙354中。更具體而言,倍縮光罩205c之經印刷或圖案化表面365 (亦被稱作前部面)面向內部艙基座356,且倍縮光罩205c之背側表面367面向內部艙蓋355。為了圖示清楚,薄皮並未繪示於圖案化表面365上方。然而,應注意,薄皮(例如,薄皮252)安設於一或多個限位機構361內的倍縮光罩205c上。內部艙基座356包括一或多個限位機構361以減小倍縮光罩205c的滑動或移動,且藉此將倍縮光罩205c緊固於內部艙354中。替代地或另外,內部艙蓋355包括一或多個限位機構361以將倍縮光罩205c緊固於適當位置。藉助於實例,限位機構361包括夾鉗、槽、銷、固定區塊及彈簧。內部艙蓋355耦接至內部艙基座356以界定倍縮光罩艙350的內部空間311或內部環境。倍縮光罩205c定位於內部艙基座356與內部艙蓋355之間的內部空間311中。
在一些實施例中,內部艙蓋355且內部倉基座356由經塗佈鎳的鋁合金製成。在一些實施例中,鎳塗層為Ni-P或Ni-Cr。
內部艙354緊固地含有於外部艙351內。在一些實施例中,外部艙為倍縮光罩標準製造介面 (「reticle standard manufacturing interface,SMIF」)艙(RSP)內。外部艙351包括外部基座353及外部蓋352。類似於內部艙蓋355及內部艙基座356,外部基座353耦接至外部蓋352,從而將內部艙354有效地包夾於外部基座353與外部蓋352之間。內部艙354牢固地停置於外部基座353上,其中外部蓋352藉由外部基座353封閉。
內部艙354及外部艙351以促進其開啟、封閉及一般操縱的方式來設計。舉例而言,內部艙354及外部艙351經設計以包括機械臂處置凸緣、插銷孔、銷、輸送帶軌道、端效器排斥體積、次級側機械臂處置凸緣及主要側機械臂處置凸緣、用於倍縮光罩對準及資料矩陣識別的特徵、定位銷(registration pin)特徵、與運動學耦合銷配合的特徵,及類似者。
本揭露之實施例係針對內部艙,該內部艙包括內部艙基座之表面上的塗層。塗層具有材料,該材料減小倍縮光罩之靜電電荷,且藉此限制由倍縮光罩吸附且沈積於倍縮光罩上的粒子。因此,倍縮光罩(且薄皮)保持相對無污染的。在一些實施例中,內部艙蓋在倍縮光罩上亦塗佈有用於減小靜電電荷的材料。
第4A圖圖示由靜電卡盤430夾鉗的倍縮光罩205c。如上文所論述,當定位於內部艙基座356上之倍縮光罩205c被需要時,內部艙354經開啟,且倍縮光罩205c使用機械臂來擷取。機械臂包括具有介電主體411的靜電卡盤430。介電主體411具有包括一或多個卡盤電極432的卡盤表面431。卡盤表面431面向倍縮光罩205c的背側257。卡盤電極432在接收電壓時提供靜電吸附力(通常由電荷405指示)以經由背側257穩定地緊固倍縮光罩205c。舉例而言,供應電壓為約1千伏(kV) DC (直流電)。靜電卡盤430包括多個夾鉗機構439,多個夾鉗機構439用以延伸至倍縮光罩205c的邊緣。舉例而言,當倍縮光罩205c由靜電吸附力夾鉗於靜電卡盤430上時,夾鉗機構439經操作以朝向倍縮光罩205c之邊緣移動,以防止倍縮光罩205c自靜電卡盤430非所要地掉落。另一方面,夾鉗機構439經操作以在倍縮光罩205c自靜電卡盤430移除之前移動遠離倍縮光罩205c的邊緣。
在倍縮光罩205c置放於內部艙基座356的一或多個限位機構361上時,夾鉗機構439經操作以移動遠離倍縮光罩205c邊緣,且靜電卡盤430的靜電吸附力被釋放。此情形使得靜電卡盤430釋放倍縮光罩205c,且倍縮光罩205c定位於一或多個限位機構361上。
包括靜電卡盤430、倍縮光罩205c及內部艙基座356的配置充當電容器。隨著靜電卡盤430移動遠離倍縮光罩205c,電容歸因於改變靜電卡盤430與倍縮光罩205c與內部艙基座356之間的距離而改變。此情形致使電荷積聚於倍縮光罩205c上。
如第4B圖中所圖示,歸因於倍縮光罩205c上的殘餘靜電電荷(亦被稱作靜電,且通常由電荷407指示),當靜電卡盤430之靜電吸附力經釋放時,內部艙基座356的粒子451 (污染物)經吸附至倍縮光罩205c。這些粒子451變得沈積(黏貼)至倍縮光罩205c,例如圖案化表面256及背側257上。因此,所要的是提供限制粒子吸附至倍縮光罩205c的內部艙。儘管內部艙基座356圖示為包括粒子451,但粒子可存在於內部艙蓋380或微影術系統的其他組件上。
應注意,僅為了圖示目的,電荷405及407在第4A圖及第4B圖中指示為具有正(+)極性及負(-)極性。又,為了圖示簡單,薄皮並未圖示於倍縮光罩205c上。
第5A圖圖示根據本揭露之實施例的在表面上包括塗層511的內部艙基座356。第5B圖圖示根據本揭露之實施例的定位於具有塗層511之內部艙基座356上的倍縮光罩205c。參看第5A圖及第5B圖,繼續參看第4A圖及第4B圖,塗層511使來自倍縮光罩205c的殘餘靜電電荷407放電(通常指示於513處)。因此,倍縮光罩205c上之殘餘靜電電荷407大體上被減小,且粒子451並未吸附至倍縮光罩205c。倍縮光罩205c因此維持相對無污染物。
在一些實施例中,塗層511包括釙(Po-210)。釙(Po-210)為在環境中產生離子(帶正電或帶負電的粒子)的輻射源。這些離子吸附相反電荷離子,且藉此使靜電電荷中和。因此,已積聚於倍縮光罩205c上之任何電荷(例如,殘餘靜電電荷407)被中和。
應注意,殘餘靜電電荷407可能並非經整個消除,而是大體上減小至一位準,使得離子451吸附至倍縮光罩205c。
在一些實施例中,塗層511沈積於內部艙基座356的整個上表面373上。在一些實施例中,塗層511沿著內部艙基座356的周邊部分沈積於上表面373上。第5C圖圖示根據本揭露之實施例的第5B圖中之內部艙基座356的平面圖,該內部艙基座356包括沈積於內部艙基座356之整個上表面373上的塗層511。第5D圖圖示根據本揭露之實施例的第5B圖中之內部艙基座356的另一平面圖,該內部艙基座356包括沿著上表面373上內部艙基座356之周邊沈積的塗層511。在一些其他實施例中,塗層511與內部艙基座356的外部周邊邊緣313隔開。第5E圖圖示根據本揭露之實施例的第5B圖中之內部艙基座356的平面圖,該內部艙基座356包括與內部艙基座356之外部周邊邊緣313隔開的塗層511。應理解,塗層511可如由應用及設計所要求以任何所要圖案或配置沈積於上表面373上而不偏離本揭露的範疇。
在一些實施例中,塗層511亦沈積於一或多個限位機構361上。在一些實施例中,塗層511僅沈積於限位機構361之間上表面373的部分上。第5F圖圖示根據本揭露之實施例的第5B圖中之內部艙基座356的平面圖,該內部艙基座356包括限位機構361之間的僅在上表面373之部分上沈積的塗層511。
另外,在一些實施例中,塗層511沈積於內部艙蓋380的面向倍縮光罩205c的表面上。
在一些實施例中且如第5A圖及第5B圖中所圖示,內部艙354由快速交換裝置(rapid exchange device,RED) 214處置,該快速交換裝置214自真空庫(in-vacuum library,IVL) 212擷取所要倍縮光罩。在一些實施例中,當需要特定倍縮光罩時,真空機器人(in-vacuum robot,IVR) 210自真空庫(in-vacuum library,IVL) 212擷取所要倍縮光罩,且將倍縮光罩提供至快速交換裝置(rapid exchange device,RED) 214。然而,實施例並非僅限於在快速交換裝置(rapid exchange device,RED) 214處處置的倍縮光罩,且同樣適用於在倍縮光罩處置系統201的所有載物台處處置的倍縮光罩。
第6圖圖示根據一些實施例的處置倍縮光罩外殼之方法600的流程圖。應理解,額外操作可在第6圖中論述之製程之前、期間且之後提供,且下文描述之操作中的一些針對方法之額外實施例可被替換或消除。操作/製程的次序可為可互換的,且操作/製程中之至少一些可以不同序列執行。至少兩個或兩個以上操作/製程可時間上重疊或幾乎同時地執行。
方法600包括使用倍縮光罩處置系統收納第一倍縮光罩外殼的操作S610。第一倍縮光罩外殼包括第一基座及設置於第一基座上的第一蓋,倍縮光罩設置於第一基座上,且靜電放電材料層設置於第一基座上。在操作S620中,自第一倍縮光罩外殼移除倍縮光罩。在操作S630中,將倍縮光罩提供至曝光工具。在操作S640中,使用倍縮光罩對半導體基板執行光微影術操作。
本揭露之實施例係針對減小倍縮光罩上之靜電電荷以減小粒子(污染物)被吸附至倍縮光罩且沈積於倍縮光罩上。藉由減小所沈積之粒子,EUV微影術系統101、倍縮光罩處置系統201及/或其他關聯組件的污染物被減小。
應理解,並非所有優勢本文中有必要予以論述,對於所有實施例或實例不要求特定優勢,且其他實施例或實例可給予不同優勢。
根據本揭露之一些實施例,一種倍縮光罩外殼包括:包括一第一表面的一基座;包括一第二表面及設置於該基座上的一蓋,其中該基座及該蓋在之間形成一內部空間,該內部空間中置放一倍縮光罩;及設置於該第一表面上的一靜電放電材料層,其中當倍縮光罩置放於倍縮光罩外殼中或自倍縮光罩外殼移除時,該靜電放電材料層減小該倍縮光罩上的靜電電荷。在一實施例中,該靜電放電材料層覆蓋一整個第一表面。在一實施例中,該靜電放電材料層設置於該第一表面的僅一部分中。在一實施例中,倍縮光罩外殼進一步包括複數個限位機構,該些限位機構係在該基座上且用於將該倍縮光罩緊固於該倍縮光罩外殼中。該靜電放電材料層僅設置於該些限位機構之兩個或兩個以上限位機構之間該第一表面的一部分上。在一實施例中,倍縮光罩外殼進一步包括複數個限位機構,該些限位機構係在該基座上且用於將該倍縮光罩緊固於該倍縮光罩外殼中。該靜電放電材料層設置於該些限位機構中的一或多個限位機構上。在一實施例中,該靜電放電材料層與該基座的外部邊緣隔開。在一實施例中,該靜電放電材料層包括一材料,該材料包括選自由導電材料及靜電散發材料組成的群中之一或多個。
根據本揭露之一些實施例,一種倍縮光罩艙包括:一外部倍縮光罩外殼,該外部倍縮光罩外殼包括一外部基座及設置於該外部基座上的一外部蓋且在外部基座與外部蓋之間形成一第一內部空間;定位於該第一內部空間中的一內部倍縮光罩外殼,該內部倍縮光罩外殼包括一內部基座及一內部蓋,該內部蓋設置於該內部基座上且在之間形成一第二內部空間;配置於該第二內部空間中的一倍縮光罩;及設置於該內部基座上的一靜電放電材料層。在一實施例中,該靜電放電材料層覆蓋該內部基座的一整個表面。在一實施例中,該靜電放電材料層設置於該內部基座之一表面的僅一部分中。在一實施例中,該內部倍縮光罩外殼包括該內部基座上的複數個限位機構,該些限位機構用於將該倍縮光罩緊固於該內部倍縮光罩外殼中,且該靜電放電材料層僅設置於該些限位機構中之兩個或兩個以上限位機構之間該內部基座的一表面上。在一實施例中,該內部倍縮光罩外殼包括該內部基座上的複數個限位機構,該些限位機構用於將該倍縮光罩緊固於該內部倍縮光罩外殼中,且該靜電放電材料層設置在該些限位機構中之一或多個限位機構上。
根據本揭露之一些實施例,一種倍縮光罩處置的方法包括使用一倍縮光罩處置系統來收納一第一倍縮光罩外殼。第一倍縮光罩外殼包括第一基座及設置於第一基座上的第一蓋,倍縮光罩設置於第一基座上,且靜電放電材料層設置於第一基座上。該方法進一步包括自該第一倍縮光罩外殼移除該倍縮光罩;提供該倍縮光罩至一曝光工具;及使用該倍縮光罩對一半導體基板執行一光微影術操作。在一實施例中,將該倍縮光罩自該曝光工具運輸至該倍縮光罩處置系統;將一倍縮光罩置放於該第一基座上;及藉由將該第一蓋置放於該第一基座上使該第一倍縮光罩外殼封閉。在一實施例中,該靜電放電材料層與該第一基座的外部邊緣隔開。在一實施例中,該靜電放電材料層包括釙(Po-210)。在一實施例中,該靜電放電材料層覆蓋該第一基座的一整個表面。在一實施例中,該靜電放電材料層設置於該第一基座之一表面的僅一部分中。在一實施例中,將該倍縮光罩置放於該第一基座上的步驟包括將該倍縮光罩置放於該第一基座上的一或多個第一限位機構上的步驟,且封閉該第一倍縮光罩外殼的步驟包括如下步驟:將該第一蓋置放於該第一基座上,使得該第一蓋上之一或多個第二限位機構接觸該倍縮光罩,藉此將該倍縮光罩緊固於該第一倍縮光罩外殼中,其中該靜電放電材料層僅在兩個或兩個以上限位機構之間設置於該第一基座的一表面上。在一實施例中,將該倍縮光罩置放於該第一基座上的步驟包括將該倍縮光罩置放於該第一基座上的一或多個第一限位機構上的步驟,且封閉該第一倍縮光罩外殼的步驟包括如下步驟:將該第一蓋置放於該第一基座上,使得該第一蓋上之一或多個第二限位機構接觸該倍縮光罩,藉此將該倍縮光罩緊固於該第一倍縮光罩外殼中,其中該靜電放電材料層設置在該一或多個第一限位機構上。
前述內容概述若干實施例或實例之特徵,使得熟習此項技術者可更佳地理解本揭露之態樣。熟習此項技術者應瞭解,其可易於使用本揭露作為用於設計或修改用於實施本文中引入之實施例或實例之相同目的及/或達成相同優勢之其他製程及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不偏離本揭露之精神及範疇,且此類等效構造可在本文中進行各種改變、取代及替代而不偏離本揭露的精神及範疇。
100:遠紫外線(EUV)輻射源 101:遠紫外線(EUV)微影術系統 105:腔室 110:收集器/收集器鏡 115:靶材液滴產生器 120:液滴捕獲器 130:第一緩衝器氣體供應源 135:第二緩衝器氣體供應源 140:出口 150:殘渣收集機構或裝置 160:輸出埠 200:曝光工具 201:倍縮光罩處置系統 202:載入埠 204:非真空機器人(OVR) 205a:光學件 205b:光學件 205c:倍縮光罩 205d:光學件 205e:光學件 206:倍縮光罩背側檢測(RBI)載物台 208:條碼讀取器 210:真空機器人(IVR) 211:基板 212:真空庫(IVL) 214:快速交換裝置(RED) 216:倍縮光罩載物台(RS) 218:載入鎖定腔室 250:薄皮總成 252:薄皮 254:薄皮框架 256:圖案化表面 257:背側 258:污染粒子 300:激發雷射源設備 310:雷射產生器 311:內部空間 313:外部周邊邊緣 320:雷射導引光學件 330:聚焦設備 350:倍縮光罩艙 351:外部艙 352:外部蓋 353:外部基座 354:內部艙 355:內部艙蓋 356:內部艙基座 361:限位機構 365:表面 373:上表面 380:內部艙蓋 405:電荷 407:電荷 411:介電主體 430:靜電卡盤 432:卡盤電極 431:卡盤表面 432:卡盤電極 439:夾鉗機構 451:粒子 511:塗層 513:放電 600:方法 A1:光軸 BF:基座地板 DP:靶材液滴 DP1:減震器 DP2:減震器 EUV:遠紫外線輻射 LR2:激發雷射 LR1:雷射光 MF:主地板 PP1:機架板 PP2:機架板 S610:操作 S620:操作 S630:操作 S640:操作 ZE:激發區域
本揭露之態樣在與隨附圖式一起研讀時自以下詳細描述內容來最佳地理解。應注意,根據行業中之標準慣例,各種特徵未按比例繪製。實際上,各種特徵之尺寸可為了論述清楚經任意地增大或減小。 第1A圖為根據本揭露之一些實施例構建的遠紫外線(extreme ultraviolet,EUV)微影術系統的示意圖,該微影術系統具有雷射產生電漿(laser produced plasma,LPP) EUV輻射源。 第1B圖為根據本揭露之實施例的EUV微影術系統曝光工具的示意圖。 第1C圖圖示安設在置放於第1A圖之EUV微影術系統中之倍縮光罩上的示意性薄皮總成。 第2圖圖示不同載物台(或站)與遠紫外線微影術系統之例示性佈局的俯視圖。 第3A圖為示意性分解視圖,且第3B圖為圖示EUV倍縮光罩艙的橫截面圖。 第4A圖圖示由靜電卡盤夾鉗的倍縮光罩。 第4B圖圖示靜電卡盤自倍縮光罩釋放時倍縮光罩上的殘餘靜電電荷。 第5A圖圖示根據本揭露之實施例的在表面上包括塗層的內部艙基座。 第5B圖圖示根據本揭露之實施例的定位於具有塗層之內部艙基座。 第5C圖圖示根據本揭露之實施例的第5B圖中之內部艙蓋的平面圖,該內部艙蓋包括沈積於內部艙基座之整個上表面上的塗層。 第5D圖圖示根據本揭露之實施例的第5B圖中之內部艙蓋的另一平面圖,該內部艙蓋包括在上表面上沿著內部艙基座之周邊沈積的塗層。 第5E圖圖示根據本揭露之實施例的第5B圖中之內部艙蓋的平面圖,該內部艙蓋包括與內部艙基座之外部周邊邊緣隔開的塗層。 第5F圖圖示根據本揭露之實施例的第5B圖中之內部艙蓋的平面圖,該內部艙蓋包括限位機構之間的僅在上表面之一部分上沈積的塗層。 第6圖圖示根據本揭露之實施例的處置倍縮光罩外殼之方法的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
600:方法
S610:操作
S620:操作
S630:操作
S640:操作

Claims (20)

  1. 一種倍縮光罩外殼,包含: 一基座,包括一第一表面; 一蓋,包括一第二表面且設置於該基座上,其中該基座及該蓋在之間形成一內部空間,該內部空間中用以置放一倍縮光罩;及 一靜電放電材料層,設置於該第一表面上,其中當該倍縮光罩置放於該倍縮光罩外殼中或自該倍縮光罩外殼移除時,該靜電放電材料層減小該倍縮光罩上的靜電電荷。
  2. 如請求項1所述的倍縮光罩外殼,其中該靜電放電材料層覆蓋一整個該第一表面。
  3. 如請求項1所述的倍縮光罩外殼,其中該靜電放電材料層設置於該第一表面的僅一部分中。
  4. 如請求項1所述的倍縮光罩外殼,進一步包含複數個限位機構,該些限位機構係在該基座上且用於將該倍縮光罩緊固於該倍縮光罩外殼中,其中該靜電放電材料層僅設置於該些限位機構之兩個或更多限位機構之間的該第一表面一部分上。
  5. 如請求項1所述的倍縮光罩外殼,進一步包含複數個限位機構,該些限位機構係在該基座上且用於將該倍縮光罩緊固於該倍縮光罩外殼中,其中該靜電放電材料層設置於該些限位機構中的一或多個限位機構上。
  6. 如請求項1所述的倍縮光罩外殼,其中該靜電放電材料層與該基座的數個外部邊緣隔開。
  7. 如請求項1所述的倍縮光罩外殼,其中該靜電放電材料層包括一材料,該材料包括選自由數種導電材料及數種靜電散發材料組成的群中之一或多個。
  8. 一種倍縮光罩艙,包含: 一外部倍縮光罩外殼,包括一外部基座及設置於該外部基座上的一外部蓋,且在該外部基座與該外部蓋之間形成一第一內部空間; 一內部倍縮光罩外殼,定位於該第一內部空間中,該內部倍縮光罩外殼包括一內部基座及設置於該內部基座上的一內部蓋,且在該內部基座與該內部蓋之間形成一第二內部空間; 一倍縮光罩,配置於該第二內部空間中;及 一靜電放電材料層,設置於該內部基座上。
  9. 如請求項8所述的倍縮光罩艙,其中該靜電放電材料層覆蓋該內部基座的一整個表面。
  10. 如請求項8所述的倍縮光罩艙,其中該靜電放電材料層設置於該內部基座之表面的僅一部分中。
  11. 如請求項8所述的倍縮光罩艙,其中該內部倍縮光罩外殼包括該內部基座上的複數個限位機構,該些限位機構用於將該倍縮光罩緊固於該內部倍縮光罩外殼中,且該靜電放電材料層僅設置於該些限位機構之兩個或兩個以上限位機構之間該內部基座的一表面上。
  12. 如請求項8所述的倍縮光罩艙,其中該內部倍縮光罩外殼包括該內部基座上的複數個限位機構,該些限位機構用於將該倍縮光罩緊固於該內部倍縮光罩外殼中,且該靜電放電材料層設置在該些限位機構中之一或多個限位機構上。
  13. 一種倍縮光罩處置的方法,包含以下步驟: 使用一倍縮光罩處置系統收納一第一倍縮光罩外殼, 其中該第一倍縮光罩外殼包括一第一基座及設置於該第一基座上的一第一蓋,一倍縮光罩設置於該第一基座上,且一靜電放電材料層設置於該第一基座上; 自該第一倍縮光罩外殼移除該倍縮光罩; 提供該倍縮光罩至一曝光工具; 使用該倍縮光罩對一半導體基板執行一光微影術操作。
  14. 如請求項13所述的方法,進一步包含以下步驟: 將該倍縮光罩自該曝光工具運輸至該倍縮光罩處置系統; 將一倍縮光罩置放於該第一基座上;及 藉由將該第一蓋置放於該第一基座上使該第一倍縮光罩外殼封閉。
  15. 如請求項13所述的方法,其中該靜電放電材料層與該第一基座的數個外部邊緣隔開。
  16. 如請求項13所述的方法,其中該靜電放電材料層包括釙(Po-210)。
  17. 如請求項13所述的方法,其中該靜電放電材料層覆蓋該第一基座的一整個表面。
  18. 如請求項13所述的方法,其中該靜電放電材料層設置於該第一基座之一表面的僅一部分中。
  19. 如請求項14所述的方法,其中將該倍縮光罩置放於該第一基座上的步驟包括以下步驟:將該倍縮光罩置放於該第一基座上的一或多個第一限位機構上,且封閉該第一倍縮光罩外殼的步驟包括以下步驟:將該第一蓋置放於該第一基座上,使得該第一蓋上之一或多個第二限位機構接觸該倍縮光罩,藉此將該倍縮光罩緊固於該第一倍縮光罩外殼中,其中該靜電放電材料層僅設置於兩個或兩個以上限位機構之間該第一基座的一表面上。
  20. 如請求項14所述的方法,其中將該倍縮光罩置放於該第一基座上的步驟包括以下步驟:將該倍縮光罩置放於該第一基座上的一或多個第一限位機構上,且封閉該第一倍縮光罩外殼的步驟包括以下步驟:將該第一蓋置放於該第一基座上,使得該第一蓋上之一或多個第二限位機構接觸該倍縮光罩,藉此將該倍縮光罩緊固於該第一倍縮光罩外殼中,其中該靜電放電材料層設置在該一或多個第一限位機構上。
TW111107253A 2021-08-30 2022-03-01 倍縮光罩外殼 TW202309667A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/461,433 US20230064383A1 (en) 2021-08-30 2021-08-30 Reticle enclosure for lithography systems
US17/461,433 2021-08-30

Publications (1)

Publication Number Publication Date
TW202309667A true TW202309667A (zh) 2023-03-01

Family

ID=84694622

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111107253A TW202309667A (zh) 2021-08-30 2022-03-01 倍縮光罩外殼

Country Status (3)

Country Link
US (1) US20230064383A1 (zh)
CN (1) CN115524919A (zh)
TW (1) TW202309667A (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6286684B1 (en) * 1999-07-23 2001-09-11 Ray G. Brooks Protective system for integrated circuit (IC) wafers retained within containers designed for storage and shipment
US6247599B1 (en) * 2000-01-14 2001-06-19 Taiwan Semiconductor Manufacturing Company, Ltd Electrostatic discharge-free container equipped with metal shield
US20050238922A1 (en) * 2003-12-25 2005-10-27 Hoya Corporation Substrate with a multilayer reflection film, reflection type mask blank for exposure, reflection type mask for exposure and methods of manufacturing them
TWI308550B (en) * 2006-12-29 2009-04-11 Ind Tech Res Inst A clean container with elastic fixing structure
TWM328438U (en) * 2007-06-08 2008-03-11 Gudeng Prec Industral Co Ltd Reticle pod and reticle transport pod
US10802394B2 (en) * 2017-11-21 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for discharging static charges on reticle
US11088003B2 (en) * 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for fabricating a semiconductor device and method for fabricating semiconductor device

Also Published As

Publication number Publication date
US20230064383A1 (en) 2023-03-02
CN115524919A (zh) 2022-12-27

Similar Documents

Publication Publication Date Title
US7477358B2 (en) EUV reticle handling system and method
EP1806767B1 (en) Substrate transfer apparatus, substrate transfer method and exposure apparatus
JP4313666B2 (ja) マスク用コンテナ、リソグラフ・マスクをコンテナ内へ移送する方法及びコンテナ内のマスクを走査する方法
US7428958B2 (en) Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
WO2006046682A1 (ja) レチクル保護部材、レチクル搬送装置、露光装置、及びレチクルの搬送方法
CN109814330B (zh) 掩模、掩模容器以及掩模上累积的静电荷的放电方法
US20070211232A1 (en) Thermophoretic Techniques for Protecting Reticles from Contaminants
TWI822260B (zh) 釋放極紫外罩幕靜電的方法
TW202309667A (zh) 倍縮光罩外殼
US11385538B2 (en) Cleaning method for photo masks and apparatus therefor
TWI831314B (zh) 倍縮光罩外殼及其處置方法
JP2007329288A (ja) 露光装置及びデバイス製造方法
CN114815499A (zh) 清洁极紫外线遮罩的系统和方法
JP2023530849A (ja) 迅速な計測回復のための精密真空ウィンドウビューポート及びペリクル
CN111328383B (zh) 光刻设备和方法
TW202236001A (zh) 倍縮光罩容器
KR102613748B1 (ko) 포토 마스크를 위한 세정 방법 및 장치
US11681234B2 (en) Mask for attracting charged particles and method for using the same
TWI810829B (zh) 使用光罩製造半導體裝置之方法
JP5263274B2 (ja) 露光装置及び方法