TW202307246A - 形成無空隙及接縫的金屬特徵的方法 - Google Patents

形成無空隙及接縫的金屬特徵的方法 Download PDF

Info

Publication number
TW202307246A
TW202307246A TW111113797A TW111113797A TW202307246A TW 202307246 A TW202307246 A TW 202307246A TW 111113797 A TW111113797 A TW 111113797A TW 111113797 A TW111113797 A TW 111113797A TW 202307246 A TW202307246 A TW 202307246A
Authority
TW
Taiwan
Prior art keywords
tungsten
substrate
layer
reducing agent
processing
Prior art date
Application number
TW111113797A
Other languages
English (en)
Inventor
岑羲
趙明銳
王珮琪
濰銘 張
吳凱
羅禕
立其 吳
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202307246A publication Critical patent/TW202307246A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Abstract

本文的實施例大致上針對在半導體元件中形成高深寬比金屬接觸件及/或互連特徵(例如,鎢特徵)的方法。經常,在高深寬比開口中鎢的共形沉積造成接縫及/或開口,在該處,鎢從開口的一個或多個壁向外生長而相會。因此,本文提出之方法提供期望的自下而上鎢塊體填充,以避免在所得互連特徵中形成接縫及/或空隙,並且本文提出之方法提供改善的接觸金屬結構及形成該結構之方法。在一些實施例中,改善的覆蓋物層或覆蓋物層結構形成在基板的場區域上面,而能夠形成接觸件或互連結構,該接觸件或互連結構具有相較於習知方式形成的接觸件或互連結構更為改善的特性。

Description

形成無空隙及接縫的金屬特徵的方法
本文描述的實施例大致上關於半導體元件製造之領域,並且更特定而言,關於處理包括含鎢材料之成核層的方法。
由於鎢(W)的低電阻率與高熔點,鎢一般用作填充材料,以在半導體元件內形成許多導電特徵。鎢經常用於形成互連特徵(例如介層窗(via))並且填充源極和汲極接觸件。一般而言,含鎢特徵形成在設置於基板上的材料之層中,該材料例如為矽或介電質。
為了防止鎢材料擴散且促進與鎢材料的附著,將一層或多層的阻障材料(例如鈦(Ti)及/或氮化鈦(TiN))沉積於介電層上以加襯(line)該介電層中之開口。然後,在阻障材料上面形成鎢膜。不幸的是,使用習知方法將鎢沉積至越來越小的特徵中經常造成在所得的互連特徵中形成非期望的接縫(seam)及/或空隙(void),以及在特徵外的基板表面上的覆蓋物(overburden)。為了使鎢膜維持與特徵外的周圍矽或介電層之表面共平面,將基板表面平面化,以從場表面移除鎢的覆蓋物。
接縫及/或空隙是由在基板之場表面上和在基板上形成的開口中鎢層之共形沉積所造成。由於鎢層同時從所有表面以相同的速率生長,所以可能會發生兩個問題。第一,在靠近表面的開口之壁上形成的鎢會生長在一起從而過早地(prematurely)夾止(pinch off)開口並且在下方的開口的部分中產生沒有鎢的空間,即空隙。第二,由於沉積在開口之壁上的鎢同時生長在一起,所以生長圖案可以產生向上延伸穿過特徵的接縫。一旦形成這些接縫及/或空隙,則可能造成劣化的性能、可靠性及/或受到抑制的產量,因為在後沉積製程中使用的腐蝕性化學環境(corrosive chemistry)(例如化學機械平面化 (CMP)或鎢回蝕)可能會進一步打開既存的接縫和空隙。
在特徵及場表面中沉積鎢材料的另一項挑戰是應力。鎢填充材料中的高應力可能造成互連件有非期望的變形。在高特徵密度的區域中,具有高深寬比的相鄰特徵以及鎢填充與覆蓋物層中的高應力可能會引發特徵之間所設置的矽或介電材料變形。例如,鎢填充或覆蓋物層中的高應力可能引發記憶體元件中的鎢包埋字元線(bWL)之間所設置的矽鰭片變形,抑或已知為非期望的線偏折。在鎢特徵之間所設置的矽或介電材料的變形可能造成與後續形成之互連特徵的非期望的偏移,從而造成短路和開路之電路以及與此相關的可靠性與功能性問題。
此外,非期望的高應力鎢膜可引發下面的基板變形,例如翹曲。下面的基板的變形使後續處理(例如CMP製程)變得困難,並且可能導致不一致的處理結果。降低其他材料之膜中應力的習知方法(例如退火)大體上無法用於降低半導體元件製造製程中鎢膜之應力,因為鎢不具有表面遷移率以使晶粒得以在多個溫度下移動或改變,上述溫度要適當地足夠低以致於不會對由此形成的元件造成損壞。
因此,此領域中需要解決上述問題的在半導體元件中形成導電特徵的改善方法。
本案揭示內容之實施例提供沉積膜之方法,包括:在第一處理壓力下或低於該第一處理壓力藉由將基板暴露於第一含鎢前驅物氣體與第一還原劑,而將鎢塊體(bulk)填充材料沉積至該基板上之複數個開口中,以及在該鎢塊體填充材料上面沉積第一鎢覆蓋物層。該基板包含第一材料層與鎢成核層,該第一材料層具有形成在該第一材料層中的該複數個開口,該鎢成核層形成於該第一材料層上並且共形地(conformally)加襯該複數個開口。在該鎢塊體填充材料上面沉積該第一鎢覆蓋物層包括:在第二處理壓力將該基板暴露於第二含鎢前驅物氣體及第二還原劑,其中該第二處理壓力是該第一處理壓力的至少三倍大。
本案揭示內容之實施例也提供額外的沉積膜之方法,包括:在將該第一處理空間維持在第一處理壓力的同時,藉由同步地使第一含鎢前驅物氣體與第一還原劑流進第一處理空間且使基板暴露於該第一含鎢前驅物氣體與該第一還原劑,而將鎢塊體填充材料沉積至該基板之第一材料層中所形成的複數個開口中。該方法進一步包括:將第一鎢覆蓋物層沉積至該鎢塊體填充材料與該基板之場表面上。將該第一鎢覆蓋物層沉積至該鎢塊體填充材料與該基板之該場表面上包括:將該第二處理空間維持在第二處理壓力的同時,於第二處理空間中將該基板暴露至第二含鎢前驅物氣體與第二還原劑的多個交替脈衝,其中該第二處理壓力是該第一處理壓力的至少三倍大。
本案揭示內容之實施例也提供基板處理系統,包括:第一處理腔室、第二處理腔室、及將該第一處理腔室耦接該第二處理腔室的移送腔室,以及非暫態電腦可讀媒體,該非暫態電腦可讀媒體上儲存有多個指令,用於當由處理器實行時執行處理基板之方法。該方法包括:沉積材料層,該材料層具有形成於該材料層中的複數個開口;在第一處理壓力下或低於該第一處理壓力藉由將基板暴露於第一含鎢前驅物氣體與第一還原劑,而將鎢塊體填充材料沉積至複數個開口中;以及在該鎢塊體填充材料上面沉積第一鎢覆蓋物層。該第一鎢覆蓋物層之沉積包括:在第二處理壓力下將該基板暴露於第二含鎢前驅物氣體及第二還原劑,其中該第二處理壓力是該第一處理壓力的至少三倍大。該材料層至該複數個開口中的沉積發生在第一處理腔室,並且鎢塊體填充材料至該複數個開口中的沉積發生在第二處理腔室。
本文的實施例大致上針對在半導體元件中形成高深寬比金屬接觸件及/或互連特徵(例如,鎢特徵)的方法。經常,在高深寬比開口中鎢的共形沉積造成接縫及/或開口,在該處,鎢從開口的一個或多個壁向外生長而相會。因此,本文提出之方法提供期望的自下而上(bottom up)鎢塊體填充,以避免在所得互連特徵中形成接縫及/或空隙,並且本文提出之方法提供改善的接觸金屬結構及形成該結構之方法。在一些實施例中,改善的覆蓋物層或覆蓋物層結構形成在基板的場區域上面,而能夠形成接觸件或互連結構,該接觸件或互連結構具有相較於習知方式形成的接觸件或互連結構更為改善的特性。
本文提供的方法包括以自由基物種處理基板的場表面,以抑制鎢在場表面處以及在場表面中形成的開口之上部的壁中生長。本文提供的方法產生針對鎢形成(tungsten formation)的抑制梯度。藉由產生針對鎢形成的抑制梯度,開口最深部分的鎢生長比起在場表面處較不受抑制,而造成後續塊體填充如期望地自下而上生長且造成有益的無接縫且無空隙的鎢特徵。所形成的無接縫且無空隙的鎢特徵包括形成一或多個覆蓋物層,該覆蓋物層具有如下文進一步描述的期望性質。
圖1A與圖1B是基板100的剖面視圖,說明習知方式形成的鎢介層窗,該鎢介層窗包括在形成於該介層窗中的非期望的接縫或空隙。在此,基板100包括介電層101,該介電層101具有形成於該介電層101中的複數個開口103(圖中顯示一個),以及形成在開口103中的複數個鎢互連特徵104(圖中顯示一個)。在一些實施例中,形成在開口103中的鎢互連特徵104具有約8nm或更小的寬度和約110nm或更大的高度。在一些實施例中,鎢互連特徵具有約25:1或更大的深寬比。
在此,介電層101的開口103和場表面102襯有阻障材料層105,該阻障材料層105可透過使前驅物在暴露的場表面102和開口103處或上面反應而沉積,以加襯開口103,並且該阻障層料層105阻擋隨後沉積的鎢填充層108擴散至周圍的介電層101中。阻障材料層105也可以促進鎢填充層108與開口103之壁115之間的附著。
隨後,可透過使前驅物在暴露的場表面102和開口103處或上面反應,而將成核層106沉積在阻障材料層105上面。成核層106可以是薄的共形層,該薄的共形層是透過原子層沉積(ALD)製程沉積。然而,在其他實施例中,可使用化學氣相沉積(CVD)製程。成核層106可用於促進鎢填充層108的起始、生長和附著至阻障材料層105。
隨後,將鎢填充層108沉積於成核層106上面。鎢填充層108共形地沉積在成核層106上面。由於該層以相同的速率同時從所有表面生長,可能會產生兩個問題。首先,鎢互連特徵104的多個部分可能會同時生長在一起。鎢互連特徵104中的這種生長模式可能會產生接縫118,該接縫118是在鎢填充層108的生長會合時形成(圖1A)。接縫118為後處理反應物創造出空間,而損壞鎢填充層108的均勻性,後處理反應物例如為與CMP結合使用的那些反應物。其次,在開口103的下部110之寬度比中間部分112之寬度或在上部114處的寬度寬的情況,中間部分112或上部114會過早地生長在一起,從而產生非期望的空隙116(圖1B)。
因此,本文所述的實施例提供在形成鎢特徵時自下而上的鎢填充,該鎢填充實質減少及/或消除特徵開口處的夾止點,而提供由該鎢填充形成的無接縫且無空隙的鎢特徵。可用於執行該等方法之態樣的示範性處理系統於圖2中說明。 處理硬體實例
圖2是根據一個實施例的用於實施本文提出的方法的示範性處理腔室200的示意剖面視圖。可用於實施本文所述方法的其他示範性沉積腔室包括Producer® ETERNA CVD®系統、Ultima HDP CVD®系統、CENTURA® Isprint ALD/CVD SSW、或可從美國加州Santa Clara的應用材料公司取得的其他整合工具,以及來自其他販售商的適合的沉積腔室。
處理腔室200包括腔室蓋組件201、一個或多個側壁202、以及腔室底座204。腔室蓋組件201包括腔室蓋206、設置在腔室蓋206中的噴頭207、和電絕緣環208,該電絕緣環208設置在腔室蓋206與一或多個側壁202之間。噴頭207、一或多個側壁202、以及腔室底座204一起界定處理空間205。氣體入口209設置成穿過腔室蓋206,該氣體入口209流體耦接氣體源210。噴頭207具有穿過該噴頭207設置的複數個開口211,該噴頭207用於將處理氣體從氣體源210均勻地分配到處理空間205中。在一些實施例中,噴頭207電耦接第一電源供應器212,諸如RF電源供應器,該第一電源供應器212供應電力以透過與其電容耦合而點燃且維持處理氣體的電漿213。在其他實施例中,處理腔室200包括感應電漿產生器,並且透過將RF電力感應耦合處理氣體而形成電漿。
處理空間205透過真空出口214流體耦接真空源(例如一或多個專用真空泵),而將處理空間205維持在次大氣壓的條件並且從該處理空間205抽空處理氣體與其他氣體。基板支撐件215設置在處理空間205中,該基板支撐件215設置在可移動的支撐軸216上,該支撐軸216密封式延伸穿過腔室底座204,例如在腔室底座204下方的區域中由伸縮囊(未示出)圍繞。在此,在習知上將處理腔室200配置為助於透過一或多個側壁202之一者中的開口218將基板217移送至基板支撐件215及從基板支撐件215移送,在基板處理期間,在習知上該開口218是以門或閥(未示出)密封。
在此,基板217設置在基板支撐件215上,基板217是透過使用下述一者或兩者而維持在期望處理溫度:加熱器,例如電阻加熱元件219;以及,設置在基板支撐件215中的一或多個冷卻通道220。一般而言,一或多個冷卻通道220流體耦接冷卻劑源(未示出),該冷卻劑源諸如為具有相對高電阻的經修改的水源或致冷劑(refrigerant)源。在一些實施例中,基板支撐件215或其一或多個電極(未示出)電耦接第二電源供應器221,諸如連續波(CW)RF電源供應器或脈衝RF電源供應器,該第二電源供應器221將偏壓電壓供應至該基板支撐件215。
處理腔室200的操作是由系統控制器225所助益。系統控制器225包括可程式化中央處理單元(CPU)226,該CPU226可與記憶體228(例如,非揮發性記憶體)和支援電路230一起操作。CPU 126是在工業環境中使用的任何形式的通用電腦處理器中的一種,例如可程式化邏輯控制器(PLC),用於控制各種腔室部件和子處理器。記憶體228耦接CPU 226,該記憶體228助於處理腔室200的操作。支援電路230以習知方式耦接CPU 226並且包括高速緩衝儲存器、時脈電路、輸入/輸出子系統、電源供應器等,以及前述各項之組合,上述各者耦接處理腔室的各種部件,以藉此助於控制基板處理操作。
在此,記憶體228中的指令是程式產品的形式,例如實施本案揭示內容的方法的程式。在一個範例中,可將本案揭示內容實施為儲存在電腦可讀儲存媒體上以供與電腦系統一併使用的程式產品。程式產品的程式界定了實施例(包括本文所述之方法)的功能。因此,電腦可讀儲存媒體在搭載引導本文所述之方法的功能的電腦可讀指令時是本公開的實施例。
圖3是多腔室處理系統300的示意性俯視圖,該系統300能夠適於執行如本文所述的金屬層沉積製程,該系統300具有處理腔室380,例如參照圖2於上文描述的處理腔室200,而該處理腔室380與該系統300整合。多腔室處理系統300能夠包括一或多個腔室302和304,用於將基板390移送進入及移送離開多腔室處理系統300。大致上,多腔室處理系統300維持在真空下,且能夠將腔室302和304「泵送降壓(pump down)」,以將基板390引入多腔室處理系統300。第一機器人310能夠在腔室302和304以及第一組一或多個基板處理腔室312、314、316及380之間移送基板390。每一處理腔室312、314、316及380配置為執行下述至少一者:基板沉積製程(例如循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD))、蝕刻、去氣(degas)、預清潔定向、退火、及其他基板製程。再者,處理腔室312、314、316和380之其中一者也可配置成在基板390上執行沉積製程或熱退火製程之前先執行預清潔製程。處理腔室380之位置可視情況任選地與任何一個處理腔室312、314、316切換。
第一機器人310定位在第一移送腔室321中,該第一機器人310也能夠將基板390移送至/移送出一或多個直通(pass-thru)腔室322和324。直通腔室322和324能夠用於維持超高真空條件,同時使基板390得以在多腔室處理系統300內移送。第二機器人330定位在第二移送腔室325中,該第二機器人330能夠將基板390在直通腔室322和324與第二組一或多個處理腔室332、334、336、338之間移送。類似於處理腔室312、314、316、380,處理腔室332、334、336和338能夠裝配成執行多種基板處理操作,該基板處理操作特別是包括本文所述之乾蝕刻製程,此外還包括例如循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、去氣和定向。能夠將基板處理腔室312、314、316、332、334、336和338之任一者從多腔室處理系統300移除,以根據需要執行其他製程。 處理方法
圖4是根據至少一個實施例的形成電子元件之導電特徵的方法的方塊圖。圖5A至圖5E示意性說明方法400的各態樣。考量方法400或該方法之各態樣可透過使用上述處理腔室200及/或多腔室處理系統300執行,然而可使用其他適合的腔室。
在活動402,方法400包括將基板定位在第一處理腔室302中,例如上述的處理腔室200。基板500能夠有任何適合的組成,例如結晶矽晶圓,具有沉積在該晶圓上的一或多個介電層,以及形成在一或多個介電層501的場表面502中的複數個開口。
在活動404,方法400包括將擴散阻障層505沉積至基板500的場表面502上,例如圖5A中所示的基板500。在此,基板500具有形成在場表面502中的複數個開口503(圖中顯示一個),且將擴散阻障層505沉積成使該擴散阻障層加襯開口503。擴散阻障層505有助於開口中鎢的後續沉積,若不然,在沒有高溫的情況下(該高溫對半導體元件製造方案而言並不適合),該鎢的後續沉積不會發生在裸矽、被氧化的矽、或氧化矽之介電層的表面上。在一些實施例中,擴散阻障層505包括含鈦材料,例如氮化鈦(TiN)。在一些實施例中,將擴散阻障層505沉積到從約2埃(Å)至約100Å的範圍內的厚度。擴散阻障層505能夠透過使用使用諸如化學氣相沉積(CVD)、原子層沉積(ALD)或物理氣相沉積(PVD)的任何適合的製程進行沉積。
大致上,CVD或ALD製程之一或二者可為電漿增強式,其中該方法包括形成該等前驅物之一或兩者的電漿而形成該前驅物之自由基物種以及將基板暴露於由該等前驅物形成的電漿及/或自由基物種。電漿可以是原位式(在處理空間中形成),或者可以遠離基板形成,例如,藉由使用遠端電漿源。
在一個實施例中,用於形成擴散阻障層505的CVD製程包括在基板之表面處使鈦前驅物(諸如TiCl 4)和氮前驅物(諸如N 2或NH 3)反應。在另一個實施例中,ALD製程包括在一個循環中將場表面502暴露於鈦前驅物(例如TiCl 4)或包含碳的鈦有機(titanium-organic)前驅物和氮前驅物(例如N 2或NH 3),從腔室的處理區域淨化該混合物,然後重複製程之步驟。在一些實施例中,擴散阻障層505透過使用電漿增強PVD製程沉積,在該電漿增強PVD製程中,使用濺射氣體的電漿激發物種轟擊鈦靶材並且從該鈦靶材濺射鈦原子。鈦原子在氮前驅物(例如N 2)的存在下沉積於場表面502上,而形成擴散阻障層505。在其他實施例中,CVD或ALD製程之一或二者是熱處理製程,例如,與使用電漿增強沉積製程相較,基板被加熱以促進基板之表面的反應。
在一些實施例中,在將基板移送到第二處理腔室304以於活動406形成成核層506之前,將擴散阻障層505沉積至設置在多腔室處理系統300的第一處理腔室302中的基板上。在其他實施例中,擴散阻障層505和成核層506在相同的處理腔室中依序形成。在一些實施例中,擴散阻障層505可用作成核層506,以用於隨後沉積的薄鎢膜的共形生長。當擴散阻障層505用作成核層時,該層一般是薄的共形層,而實現在該層上後續沉積塊體含鎢材料。在其中擴散阻障層505用作成核層的一些實施例中,該方法可以不包括活動406。
在活動406,方法400包括在擴散阻障層505上形成成核層506(圖5B)。在一個實施例中,成核層506透過使用ALD製程形成在擴散阻障層505上。成核層506可具有至少為單層厚的厚度,例如介於20埃(Å)和200 Å之間的厚度,例如介於30Å和160Å之間的厚度,諸如介於40Å和130Å之間的厚度,例如介於50Å和100Å之間的厚度。在一些實施例中,ALD製程包括重複下述之多個循環:將基板暴露於含鎢還原劑,例如氫(H 2)。適合的含鎢前驅物的範例包括鹵化鎢,例如六氟化鎢(WF 6)、六氯化鎢(WCl 6)或上述各項之組合。適合的含氫還原劑的範例包括硼烷和矽烷,例如B 2H 6、SiH 4、Si 2H 6或上述各項之組合。
在活動408,方法400包括處理成核層506,以根據活動410的基板之場表面上的抑制分佈曲線(inhibition profile)而抑制鎢的沉積。在一些實施例中,處理成核層506包括將基板暴露於自由基物種R,例如由電漿活化處理氣體形成的自由基,該電漿活化處理氣體例如為N 2、H 2、NH 3、NH 4、O 2、CH 4或上述各項之組合(圖5C)。在至少一個實施例中,自由基物種由電漿活化的含氮氣體形成,例如N 2、H 2、NH 3、NH 4或上述各項之組合。電漿可為原位式(在處理空間中形成),或者可以藉由使用圖2之處理腔室200中所示的遠端電漿源255遠離基板形成。
往回參考圖2,在一個實施例中,自由基物種R是以下述方式形成:使處理氣體流入遠端電漿源255(該遠端電漿源255流體耦接處理空間205)並且點燃和維持處理氣體之電漿,而形成該處理氣體之自由基物種R。然後,自由基物種R流入處理空間並且基板暴露於該自由基物種R。用於處理300mm直徑之基板的至遠端電漿源255的處理氣體的典型流速是介於10sccm和5000sccm之間,例如介於約100sccm和約1500sccm之間或介於約1sccm和約100sccm之間。適當的規模縮放(scaling)可用於不同尺寸的基板。在至少一個實施例中,將處理空間205維持在下述壓力:介於約900毫托耳和120托耳之間、或介於約1托耳和100托耳之間、或介於約1托耳和50托耳之間、或例如介於約1托耳和約10托耳之間。
在一些實施例中,遠端電漿可形成於處理空間的一部分中,該部分藉由噴頭(例如噴頭207)與基板處理部分分開。在此配置中,來自遠端電漿源255的流出物(effluent)是在處理的自由基到達處理空間與設置在該處理空間中的基板表面之前,流過離子過濾器以從該流出物移除實質上所有離子。在其中電漿形成於處理空間的分開的部分的實施例中,噴頭可用做離子過濾器,該噴頭諸如圖2中的噴頭207,設置在遠端電漿與基板處理部分之間。在其他實施例中,用於形成處理的自由基的電漿是原位電漿,該原位電漿形成於處理空間中,例如在噴頭207和基板之間形成。
在至少一個實施例中,將活化的氮輸送到基板之表面並且與金屬鎢反應而形成WN。當該氮是以電漿形式輸送時,該氮是以無偏壓或低偏壓的方式輸送,以最小化與特徵底部處之與材料反應的氮量,因此不會顯著抑制特徵的這些區域中的材料。較佳為,使用較長的含氮氣體培養(incubation)時間而非較短的培養時間。不受理論束縛,相信氮需要超過10秒的時間才能適當地併入成核層506中。氮進入基板上形成的特徵中的擴散是由氣體的能量層級(energy level)、氣體的方向性、特徵的尺寸和特徵的深寬比所控制。
有利的是,成核層506的自由基處理減慢或至少部分抑制鎢在顯著暴露於含氮氣體的區域上的生長,該區域例如場表面502及特徵(即,開口503)之上部。對於圖案化基板(例如在其表面中形成高深寬比開口的基板)而言,活動408的自由基處理提供場表面502上選擇性的自由基元素暴露,使得相較於開口503的下部和底部,場表面502與開口503之上部上的鎢生長有較高程度的延遲或抑制。
在至少一個實施例中,基板的自由基處理應該使得基板之場表面502比起特徵之一或多者之內部應當有更大的處理。因為最終結果是,相較於開口503之下部中的成核,場表面502上有較高的鎢成核延遲,所以一旦在特徵中建立了鎢生長,則該生長會從離場表面502最遠的特徵之部分加速。此鎢塊體填充生長分佈曲線在後續處理中產生自下而上的生長,因此防止接縫形成。
在活動410,方法400包括在經處理的成核層506上沉積鎢塊體填充物504。如圖5D所示,鎢沉積在特徵550中,使得該鎢填充特徵。用於沉積鎢塊體填充物504的適合方法包括CVD方法、ALD方法、脈衝鎢或上述各項之組合。鎢CVD製程包括同步流動(共流)前驅物氣體及還原劑。在至少一個實施例中,使前驅物氣體與還原劑共流能夠包括交替進行下述之依序的重複操作:將基板暴露於前驅物氣體、及暴露於還原劑。脈衝鎢沉積包括下述之依序的重複操作:將基板暴露於前驅物氣體、然後暴露於還原劑。鎢ALD製程包括下述之依序的重複操作:將基板暴露於前驅物氣體,然後將基板暴露於還原劑。該方法進一步包括在將基板暴露於前驅物氣體和還原劑之間透過使惰性氣體流進處理空間而淨化該處理空間。在至少一個實施例中,前驅物氣體包括WF 6並且還原劑包括H 2
這些塊體填充方法透過將處理空間的壓力降低到介於約1托耳和300托耳之間,同時將處理溫度增加到約400°C和550°C而形成低應力鎢填充504。此外,這些塊體填充方法形成無接縫且無空隙的鎢填充物,這是透過下述方式達成:根據沉積分佈曲線將鎢沉積到基板的隙縫區域中,使得鎢塊體填充物504的優先成核位點成為特徵之底部的部分,這是距場表面最遠之處。由於受到抑制的成核層(例如WN層)形成在開口503的上側壁以及成核層的場表面上,所以鎢塊體填充物504的優先成核位點變為特徵550之底部。於是,根據抑制分佈曲線,鎢塊填充物504沉積在成核層506的非受抑制部分上而產生自下而上的生長。在一些實施例中,鎢的生長能夠造成場的一部分上的一些生長。一般而言,這種生長要經受後續處理,例如化學機械研磨(CMP)。
在至少一個實施例中,鎢CVD製程包括使包含WF 6的含鎢前驅物氣體以及包含H 2的還原劑同步流入處理空間並且將基板暴露至該含鎢前驅物氣體以及該還原劑,其中諸如WF 6的含鎢前驅物進入處理空間的流速是介於約100sccm和1000sccm之間,或介於約200sccm和900sccm之間,或例如介於約300sccm和800sccm之間,並且H 2的流速是介於約500sccm和7000sccm之間,或介於約750sccm和5500sccm之間,或例如介於1000sccm和4000sccm之間。在至少一個實施例中,形成鎢塊體填充物504包括將基板加熱到(且將基板維持於)下述溫度:介於約100°C和1000°C之間、或介於約300°C和700°C之間、或例如介於約400°C和540°C之間。在一些實施例中,形成鎢沉積製程包括在該沉積製程期間將處理空間維持在下述壓力:介於約900毫托耳和120托耳之間、或介於約1托耳和100托耳之間、或介於約3托耳和70托耳之間、或介於約4托耳和50托耳之間,或例如介於約5托耳和30托耳之間。此外,在一些實施例中,由2000 Å之膜的計算,晶粒尺寸介於約200Å和220Å之間。在一個範例中,CVD製程包括:1000sccm和4000sccm、400°C和540°C、以及5托耳和30托耳。在較高的溫度範圍內(諸如400°C和540°C之範圍)運行該製程會增加原子能量因他們在沉積期間接觸基板表面,藉此,改善結晶結構內原子的表面擴散與排列。此外,在較低壓力下運行該製程也降低沉積速率,因此也使沉積的原子得以有更多時間找到結晶結構中的較佳位點。
在至少一個實施例中,脈衝鎢沉積包括下述之依序的重複操作:將基板暴露於前驅物氣體然後暴露於還原劑。在一些實施例中,前驅物氣體包括WF 6並且還原劑包括H 2。WF 6進入處理空間的流速介於約100sccm和2000sccm之間,或介於約250sccm和1500sccm之間,或者例如介於約500sccm和900sccm之間,持續達介於約1秒和4秒之間的投劑期間(dose period)。在投劑期間H 2的流速介於約500sccm和7000sccm之間,或介於約750sccm和5500sccm之間,或例如介於1000sccm和4000sccm之間。在至少一個實施例中,形成鎢塊體填充物504包括將基板加熱至(且將基板維持於)下述溫度:介於約100°C和1000°C之間,或介於約300°C和700°C之間,或例如介於約400°C和500°C之間。在一些實施例中,形成鎢場層包括將處理空間維持在下述壓力:介於約900毫托耳和120托耳之間、或介於約1托耳和100托耳之間、或介於約2托耳和50托耳之間、或例如約3托耳和10托耳之間。
在至少一個實施例中,鎢ALD製程包括下述之依序的重複操作:將基板暴露於前驅物氣體,然後暴露於還原劑。該方法進一步包括:在將基板暴露於前驅物氣體和還原劑之間透過使惰性氣體流入處理空間而淨化該處理空間。在一些實施例中,前驅物氣體包括WF 6並且還原劑包括H 2。在至少一個實施例中,相較於具有在高壓下沉積的成核層的基板,具有在低壓下沉積的成核層的基板具有實質較低的應力。形成鎢層包括將基板加熱至(且將該基板維持於)下述溫度:介於約100°C與1000°C之間、或介於約300°C和700°C之間、或優先在介於約400°C和500°C之間。在此,至處理空間的WF 6的典型流速是介於約1sccm和5000sccm之間,或介於約250sccm和2500sccm之間,或例如,介於約500sccm和900sccm之間,持續達介於約1秒到20秒之間,或介於約1秒至約10秒之間,或例如介於約1秒至5秒之間。至處理空間的H 2的流速介於約1sccm和10000sccm之間,或介於約500sccm和6500sccm之間,或例如,介於約1000sccm和4000sccm之間,持續達介於約1秒至20秒,或介於約1秒至約10秒之間,或例如介於約1秒至5秒之間。此外,形成鎢場層包括將處理空間維持在下述壓力:介於約900毫托耳和50托耳之間、或介於約1托耳和30托耳之間、或介於約2托耳和20托耳之間、或優先介於約3托耳和10托耳之間。在至少一個實施例中,在將基板暴露於WF 6和H 2前驅物氣體之間淨化處理空間的時間為介於約1秒至20秒之間,或介於1秒至10秒之間,或優先介於約1秒至5秒之間。
在活動412和414,方法400包括:在活動412,藉由在填充層上形成第一覆蓋物層530,而在鎢填充層上以及基板的場表面上形成鎢材料的第一覆蓋物層530,以及,在活動414,於第一覆蓋物層上形成第二覆蓋物層540。在一些實施例中,期望形成具有低且壓縮的應力的第一和第二覆蓋物層。在此,形成第一覆蓋物層530和第二覆蓋物層540中的一或二者包括與用於形成設置在鎢特徵中的鎢填充材料的製程條件不同的製程條件。在一些實施例中,比起用於形成鎢填充材料的製程,用於形成第一或第二覆蓋物層的製程造成更高的材料沉積速率,從而減少基板處理時間,並且提供期望上增加的基板產量。在一些實施例中,用於形成第一和第二覆蓋物層之一或兩者的方法容許微調所得鎢膜中的應力,這可用於控制後續基板處理操作(例如CMP製程)的處理結果。
在此,在活動412形成第一覆蓋物層530(圖5E)包括在鎢塊體填充物504的表面上沉積鎢層。一般而言,形成第一覆蓋物層530消除活動408的由自由基處理所提供的場表面上的鎢生長抑制。藉由減少及/或逆轉場表面上的鎢生長抑制,製備場表面以容許第二鎢覆蓋物層540的生長及/或沉積。
用於沉積第一覆蓋物層530的適合方法包括CVD方法、ALD方法、或上述方法之組合。如前文所述,鎢CVD製程包括將諸如WF 6的含鎢前驅物氣體和諸如氫氣(H 2)的還原劑共同流入處理空間中並且將基板暴露於該含鎢前驅物氣體和該還原劑。鎢ALD製程包括下述之依序的重複操作:將基板暴露於前驅物氣體(例如WF 6),然後暴露於還原劑(例如H 2),並且視情況任選地在將基板暴露於前驅物氣體和還原劑之間透過使惰性氣體流入處理空間而淨化該處理空間。在此,第一覆蓋物層530用於在基板的場表面上起始鎢生長,否則該生長會被上文所述之自由基處理操作所抑制。
在一個實施例中,用於沉積第一覆蓋物層530的CVD製程包括將諸如WF 6的含鎢前驅物氣體和諸如氫氣(H 2)的還原劑共同流入處理空間中並且將基板暴露於該含鎢前驅物氣體和該還原劑。在此,處理空間維持在下述壓力:介於約900毫托耳和1000托耳之間、或介於約50托耳和700托耳之間、或介於約100托耳和500托耳之間、或例如介於約150托耳和300托耳之間的壓力。將基板加熱至及/或維持於下述溫度:介於約100°C和1000°C之間,例如介於約300°C和700°C之間,或例如介於約450°C和540°C之間。
在另一實施例中,用於形成第一覆蓋物層530的ALD製程包括交替進行下述之依序的重複操作:將基板暴露於含鎢前驅物氣體和還原劑。有利的是,ALD製程可用於克服由自由基處理所賦予的鎢生長抑制,同時,比起相對高壓的CVD製程,該ALD製程提供應力較低的鎢膜。在這些實施例中的一些實施例中,形成鎢成核層進一步包括:在將基板暴露於含鎢前驅物氣體和還原劑之間透過使惰性氣體流入處理空間同時同步地從處理空間抽空未反應的前驅物及/或反應副產物,而淨化處理空間。在一些實施例中,前驅物氣體包括WF 6並且還原劑包括H 2。在此,沉積的薄鎢層能夠具有一最終厚度,該最終厚度為:介於約5埃和100埃之間、或介於約10埃和80埃之間、或例如介於約20埃和60埃之間。此外,處理空間維持在下述壓力:介於約900毫托耳和100托耳之間、或介於約3托耳和50托耳之間、或介於約4托耳和40托耳之間、或例如介於約5托耳和20托耳之間。
如前文所述,適合的含鎢前驅物之實例包括鹵化鎢,例如(WF 6)、六氯化鎢(WCl 6),以及上述各項之組合,適合的含氫還原劑包括硼烷和矽烷,例如B 2H 6、SiH 4、Si 2H 6或上述各項之組合。在至少一個實施例中,前驅物氣體包括WF 6和B 2H 6或SiH 4,該WF 6和B 2H 6或SiH 4流入處理空間中並暴露於基板。在此,至處理空間的WF 6的典型流速是介於約1sccm和1000sccm之間,或介於約25sccm和500sccm之間,或者例如介於約50sccm和100sccm之間。至處理空間的B 2H 6的典型流速是介於約1sccm和1000sccm之間,或介於約100sccm和500sccm之間,或者例如,介於約200sccm和400sccm之間。至處理空間的SiH 4的典型流速是介於約1sccm和1000sccm之間,或介於約100sccm和500sccm之間,或者例如,介於約200sccm和400sccm之間。一般而言,處理空間維持在下述壓力:介於約900毫托耳和120托耳之間、或介於約1托耳和100托耳之間、或介於約3托耳和50托耳之間、或例如介於約5托耳和20托耳之間。
此外,在一些實施例中,用於沉積第一覆蓋物層530的CVD製程包括將諸如WF 6的含鎢前驅物氣體和諸如氫氣(H 2)的還原劑共同流入處理空間中,而處理壓力是用於沉積鎢塊體填充物504的處理壓力的至少三倍大。此外,在一些實施例中,用於沉積第一覆蓋物層530的CVD製程包括將諸如WF 6的含鎢前驅物氣體和諸如氫氣(H 2)的還原劑共同流入處理空間中,而處理壓力是用於沉積鎢塊體填充物504的處理壓力的至少2.5倍大。例如,在一些實施例中,用於沉積第一覆蓋物層的處理空間之壓力對用於沉積鎢塊體填充物504的處理空間之壓力的比為:約1.25:1或更大,例如約1.5:1或更大、約1.75:1或更大、約2:1或更大、約2.25:1或更大、約2.5:1或更大、約2.75:1或更大、約3:1或更大、約3.25:1或更大、或約3.5:1或更大。
在另一實施例中,用於形成第一覆蓋物層530的ALD製程包括交替進行下述之依序的重複操作:將基板暴露於含鎢前驅物氣體和還原劑,處理壓力是用於沉積塊體鎢填充物504的處理壓力的約兩倍大。在又一實施例中,用於形成第一覆蓋物層530的ALD製程包括:交替進行下述之依序的重複操作:將基板暴露於含鎢前驅物氣體和還原劑,處理壓力是用於沉積塊體鎢填充物504的處理壓力的約1.5倍大。例如,在一些實施例中,用於沉積第一覆蓋物層的處理空間之壓力對用於沉積鎢塊體504的處理空間之壓力的比為約1.25:1或更大、約1.50:1或更大、約1.75:1或更大、約2:1或更大、約2.25:1或更大。
在活動414,方法400包括形成第二覆蓋物層540,其中形成第二覆蓋物層540包括將鎢場層沉積到第一覆蓋物層530上。在此,使用提供相對低應力之鎢的處理條件沉積第二覆蓋物層。用於沉積第二覆蓋物層的適合方法包括CVD方法、ALD方法和脈衝W或上述各項之組合。
一般而言,在特徵之鎢塊體填充之後,使用化學機械研磨(CMP)製程從基板的場表面移除鎢材料的覆蓋物(以及設置在該覆蓋物下方的阻障層)。大致上,這種CMP製程依賴於化學和機械活動之組合,以助於均勻地移除覆蓋物層,以及助於終點偵測方法,以確定在何時鎢覆蓋物已從場表面清除。鎢從場表面非均勻地清除或無法偵測到研磨終點可能導致基板表面的至少一些區域有非期望的過度研磨或研磨不足。鎢的過度研磨可能引發從鎢特徵中非期望地移除鎢,例如特徵去核(feature coring),因為CMP製程中的研磨液體經常有腐蝕性,並且可能在過度研磨期間引發特徵損壞。鎢研磨不足可能導致在CMP後在場表面上殘留非期望的殘餘鎢。不幸的是,用於藉由促進鎢的自下而上之生長以提供無接縫且無空隙之鎢特徵的抑制處理也抑制了鎢在場表面上的生長,而防止在塊體鎢製程期間形成鎢的覆蓋物。因此,本文的實施例包括沉積覆蓋物層的方法,該方法有別於用於沉積塊體填充層的方法,在408處描述的自由基抑制處理之後在基板之場表面上提供有均勻厚度的鎢。
在一個實施例中,用於沉積第二覆蓋物層540的鎢CVD製程包括將含鎢前驅物和還原劑共同流入處理空間中並且將第二鎢成核層的表面暴露於含鎢前驅物和還原劑。在一些實施例中,前驅物氣體包括WF 6並且還原劑包括H 2。形成鎢場層包括:將基板加熱至(且將基板維持於)下述溫度:介於約100°C和1000°C之間、或介於約300°C和700°C之間、或例如介於約400°C和540°C之間。在一些實施例中,形成鎢場層包括將處理空間維持在下述壓力:介於約900毫托耳和120托耳之間、或介於約1托耳和100托耳之間、或介於約3托耳和70托耳之間、或介於約4托耳和50托耳之間,或例如,介於約5托耳和30托耳之間。在此,至處理空間的WF 6的典型流速介於約10sccm和1500sccm之間,或介於約150sccm和1000sccm之間,或例如介於約300sccm和800sccm之間。至處理空間的H 2的典型流速介於約100sccm和10000sccm之間,或介於約500sccm和7500sccm之間,或者例如,介於約1000sccm和4000sccm之間。
此外,在一些實施例中,用於沉積第二覆蓋物層540的CVD製程包括將諸如WF 6的含鎢前驅物氣體和諸如氫氣(H 2)的還原劑共同流入處理空間中,處理壓力是用於沉積第一覆蓋物層530的處理壓力的至少四倍小。例如,在一些實施例中,用於沉積第二覆蓋物層540的處理空間之壓力對用於沉積第一覆蓋物層530的處理空間之壓力的比為約1:5或更小,例如約1:4.5或更小,例如約1:4或更小,例如約1:3.5或更小,例如約1:3或更小,例如約1:2.5或更小,例如約1:2或更小。
在一個實施例中,用於形成第二覆蓋物層540的鎢ALD製程包括將基板暴露於鎢前驅物氣體和還原劑的依序重複操作,並且視情況任選地在將基板暴露於前驅物氣體之間藉由將惰性氣體流進處理空間而淨化處理空間。在一些實施例中,前驅物氣體包括WF 6並且還原劑包括H 2。在至少一個實施例中,比起具有在高壓下沉積的成核層的基板,具有在低壓下沉積的成核層的基板具有實質上較低的應力。形成鎢場層包括將基板加熱至(且將基板維持於)下述溫度:介於約100°C和1000°C之間、或介於約300°C和700°C之間、或例如介於約400°C和500°C之間。在此,至處理空間的WF 6的典型流速為介於約1sccm和5000sccm之間,或介於約250sccm和2500sccm之間,或例如介於約500sccm和900sccm之間,持續達介於約1秒和20秒之間,或介於約1秒和約10秒之間,或例如介於約1秒和5秒之間。至處理空間的H 2的典型流速為介於約1sccm和10000sccm之間,或介於約500sccm和6500sccm之間,或例如介於約1000sccm和4000sccm之間,持續達介於約1秒和20秒之間,或介於約1秒和約10秒之間,或例如介於約1秒和5秒之間。另外,形成第二覆蓋物層540包括將處理空間維持在下述壓力:介於約900毫托耳和50托耳之間、或介於約1托耳和30托耳之間、或介於約2托耳和20托耳之間、或例如介於約3托耳和10托耳之間。在至少一個實施例中,在將基板暴露於WF 6和H 2前驅物氣體之間淨化處理空間的時間為介於約1秒和50秒之間,或介於1秒和25秒之間,或例如介於約1秒和4秒之間。
此外,在一些實施例中,用於形成第二覆蓋物層540的ALD製程包括將處理空間維持在一處理壓力,該處理壓力是用於沉積第一覆蓋物層530的處理壓力至少十倍小。例如,在一些在實施例中,用於沉積第二覆蓋物層540的處理空間之壓力對用於沉積第一覆蓋物層530的處理空間之壓力的比為約1:10或更小,例如約1:9或更小,例如如約1:8或更小,例如約1:7或更小,例如約1:6或更小,例如約1:5或更小,例如約1:4或更小。
在一個實施例中,用於沉積第二覆蓋物層540的脈衝鎢沉積製程包括下述之依序的重複操作:暴露鎢前驅物氣體然後暴露還原劑。在一些實施例中,前驅物氣體包括WF 6,還原劑包括H 2。在此,至處理空間的WF 6的典型流速為介於約1sccm和5000sccm之間,或介於約250sccm和2500sccm之間,或者例如,介於約500sccm和900sccm之間。至處理空間的H 2的典型流速介於約1sccm和10000sccm之間,或介於約500sccm和6500sccm之間,或者例如,介於約1000sccm和4000sccm之間。
此外,在一些實施例中,用於沉積第二覆蓋物層540的脈衝鎢沉積製程包括將處理空間維持在一處理壓力,該處理壓力是用於沉積第一覆蓋物層530的處理壓力至少十倍小。例如,在一些實施例中,用於沉積第二覆蓋物層540的處理空間之壓力對用於沉積第一覆蓋物層530的處理空間之壓力的比為約1:10或更小,例如約1:9或更小,例如如約1:8或更小,例如約1:7或更小,例如約1:6或更小,例如約1:5或更小,例如約1:4或更小。
例如,使用鎢CVD製程形成鎢特徵,該製程包括同步地將含鎢前驅物氣體WF 6和還原劑H 2流入處理空間中並且將第二鎢成核層的表面暴露於該鎢前驅物氣體和還原劑。鎢CVD包括將基板加熱到約450°C的處理溫度,應力為約1000MPa,處理空間壓力為約5托耳和30托耳。
在另一個實例中,使用鎢CVD製程形成鎢特徵,該製程包括同步地使含鎢前驅物氣體WF 6和還原劑H 2流入處理空間中並且將第二鎢成核層的表面暴露於該鎢前驅物氣體和還原劑。鎢CVD包括將基板加熱到約540°C的處理溫度,應力為約540MPa,處理空間壓力為介於約5托耳和30托耳之間。
在又一個實例中,使用脈衝鎢沉積製程形成鎢特徵。脈衝鎢沉積包括下述之依序的重複操作:將基板暴露於前驅物氣體WF 6,然後暴露於還原劑H 2。在此,脈衝鎢沉積包括將基板加熱至約400°C的處理溫度,應力為約673MPa,處理空間壓力介於約3托耳和10托耳之間。在此實例中,開口之上部的表面上的鎢生長速率小於開口之下部的表面上的鎢生長速率,這提供了特徵中自下而上的鎢形成。因為鎢主要從特徵的底部生長,所以能夠避免接縫,若不然該接縫會由特徵之側壁的共形生長而產生。類似地,自下而上的鎢生長不會有在特徵開口處產生鎢材料懸垂的問題,例如夾止點,從而消除了與該問題相關的非期望的空隙。
如在前文的實例中可見,本文描述的方法有利地提供了高深寬比特徵的無接縫和無空隙的鎢塊體填充。圖6是顯示根據本文揭示的各種方法沉積的鎢之塊體層的膜應力的曲線圖。所有的層都沉積到1,200埃(Å)的厚度。
藉由同步地使含鎢前驅物氣體WF 6和還原劑H 2流入處理空間中並且將鎢成核層的表面暴露於該含鎢前驅物氣體和還原劑,而沉積鎢塊體填充層601。在此,至處理空間的WF 6的流速介於約150sccm和750sccm之間,至處理空間的H 2的流速介於約1500sccm和5000sccm之間。在此,將基板維持在約150°C至750°C之間的處理溫度,並且腔室維持在介於約100托耳至500托耳之間的壓力。將被覆(blanket)鎢層沉積至約1,200埃的厚度,對所得之膜測量的應力為大約1600MPa。
藉由同步地使含鎢前驅物氣體WF 6和還原劑H 2流入處理空間中並且將鎢成核層的表面暴露於該含鎢前驅物氣體和還原劑,而沉積鎢塊體填充層602。在此,至處理空間的WF 6的流速介於約300sccm和800sccm之間,至處理空間的H 2的流速介於約1000sccm和4000sccm之間。在此,將基板維持在介於約375°C和約425°C之間的處理溫度,並且腔室維持在介於約5托耳和30托耳之間的壓力。將被覆鎢層沉積至約1,200埃的厚度,對所得之膜測量的應力為大約1300MPa。
藉由同步地使含鎢前驅物氣體WF 6和還原劑H 2流入處理空間中並且將鎢成核層的表面暴露於該含鎢前驅物氣體和還原劑,而沉積鎢塊體填充層603。在此,至處理空間的WF 6的流速介於約300sccm和800sccm之間,至處理空間的H 2的流速介於約1000sccm和4000sccm之間。在此,將基板維持在介於約425°C和475°C之間的處理溫度,並且腔室維持在介於約5托耳和30托耳之間的壓力。將被覆鎢層沉積至約1,200埃的厚度,對所得之膜測量的應力為大約1000MPa。
藉由同步地使含鎢前驅物氣體WF 6和還原劑H 2流入處理空間中並且將鎢成核層的表面暴露於該含鎢前驅物氣體和還原劑,而沉積鎢塊體填充層604。在此,至處理空間的WF 6的流速介於約300sccm和800sccm之間,至處理空間的H 2的流速介於約1000sccm和4000sccm之間。在此,將基板維持在介於約500°C和550°C之間的處理溫度,並且腔室維持在介於約5托耳和30托耳之間的壓力。將被覆鎢層沉積至約1,200埃的厚度,對所得之膜測量的應力為大約450MPa。
藉由脈衝鎢沉積進行鎢塊填充層605的沉積,該脈衝鎢沉積包括下述依序重複操作:將基板暴露於鎢前驅物氣體WF 6,然後暴露於還原劑H 2。在此,至處理空間的WF 6的流速介於約500sccm和900sccm之間,至處理空間的H 2的流速介於約1000sccm和4000sccm之間。在此,將基板維持在介於約375°C和約425°C之間的處理溫度,並且腔室維持在介於約3托耳和10托耳之間的壓力。將被覆鎢層沉積至約1,200埃的厚度,對所得之膜測量的應力為大約673MPa。
從曲線圖中可見,相較於601之鎢沉積,在標號604中用於沉積鎢的製程造成鎢應力降低了三倍。因此,在一些實施例中,鎢塊體填充物或者第二覆蓋物層之一或二者具有下述膜應力:小於1600MPa、小於1500MPa、小於1400MPa、小於1300MPa、小於1200MPa、小於1100MPa、1000MPa、小於900MPa、小於800MPa,小於700MPa、小於600MPa。
結論
本案揭示內容的實施例大致上提供沉積無接縫及/或無空隙的鎢填充物的方法和系統,這是藉由以自由基物種處理成核層以減緩鎢在處理過的表面上的沉積而達成。雖然已詳述前文的實施例,但是可以在申請專利範圍的範疇內實施某些改變和修改。因此,本案之實施例視為說明性而非限制性,因為可以設計本案揭示內容的其他和進一步的實施例而不背離本案之基本範疇。本案之基本範疇由所附之申請專利範圍決定。
100:基板 101:介電層 102:場表面 103:開口 104:互連特徵 105:阻障材料層 106:成核層 108:鎢填充層 110:下部 112:中間部分 114:上部 115:壁 116:空隙 118:接縫 200:處理腔室 201:腔室蓋組件 202:側壁 204:腔室底座 205:處理空間 206:腔室蓋 207:噴頭 208:電絕緣環 209:氣體入口 210:氣體源 211:開口 212:電源供應器 213:電漿 214:真空出口 215:基板支撐件 216:支撐軸 217:基板 218:開口 219:加熱元件 220:冷卻通道 221:電源供應器 225:系統控制器 226:中央處理單元 228:記憶體 230:支援電路 255:遠端電漿源 300:多腔室處理系統 302,304:腔室 310:機器人 312,314,316,332,334,336,338,380:處理腔室 322,324:直通腔室 325:移送腔室 330:機器人 390:基板 400:方法 402~414:活動 500:基板 501:介電層 502:場表面 503:開口 504:鎢塊體填充物 505:擴散阻障層 506:成核層 530,540:覆蓋物層 550:特徵 601~605:鎢塊體填充層
為了能夠詳細理解本案揭示內容的上述特徵的方式,可透過參考實施例(其中一些實施例於所附圖式中說明)而獲得上文簡要總結的本案揭示內容的更特定的描述。然而,應注意,所附之圖式僅說明本案揭示內容的一般實施例,因此不應被認為限制對本案揭示內容之範疇,因本案揭示內容可認可其他等效之實施例。
圖1A至圖1B是基板的示意性剖面視圖,說明互連特徵中非期望的接縫和空隙的形成。
圖2是用於實施本文提出之方法的示範性處理腔室的示意剖面視圖。
圖3是可用於執行本文提出之方法的多腔室處理系統的示意性平面圖。
圖4是根據一個實施例的形成電子元件之導電特徵的方法的方塊圖。
圖5A至圖5E是基板的示意性剖面視圖,說明圖4之方法的各種態樣。
圖6是曲線圖,顯示根據本文揭示之各種方法沉積的鎢之塊體層的膜應力。
為助於理解,如可能則使用相同的元件符號表示圖式共用的相同元件。考量一個實施例中揭示的元件可有益地用於其他實施例而無需特定記載。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
504:鎢塊體填充物
505:擴散阻障層
506:成核層
530,540:覆蓋物層

Claims (20)

  1. 一種沉積膜之方法,包括: 在一第一處理壓力下或低於該第一處理壓力藉由將一基板暴露於一第一含鎢前驅物氣體與一第一還原劑,而將一鎢塊體填充材料沉積至該基板上之複數個開口中,該基板包括一第一材料層與一鎢成核層,該第一材料層具有形成在該第一材料層中的該複數個開口,該鎢成核層形成於該第一材料層上並且共形地加襯(conformally line)該複數個開口;以及 在該鎢塊體填充材料上面沉積一第一鎢覆蓋物(overburden)層,包括:在一第二處理壓力下將該基板暴露於一第二含鎢前驅物氣體及一第二還原劑,其中 該第二處理壓力是該第一處理壓力的至少三倍大。
  2. 如請求項1所述之方法,進一步包括:將該鎢成核層暴露於一處理氣體的一自由基物種,以相對於該鎢塊體填充材料在該複數個開口內的多個表面上的沉積選擇性地抑制該鎢塊體填充材料在該鎢成核層之一場表面上的沉積。
  3. 如請求項1所述之方法,其中該第一處理壓力為約50托耳或更小。
  4. 如請求項1所述之方法,其中將該基板暴露於該第二含鎢前驅物氣體及該第二還原劑以沉積該第一鎢覆蓋物層包括:將該基板暴露於該第二含鎢前驅物氣體與該第二還原劑的多個交替脈衝。
  5. 如請求項1所述之方法,其中將該基板暴露於該第二含鎢前驅物氣體及該第二還原劑以沉積該第一鎢覆蓋物層包括:同步地將該基板暴露於該第二含鎢前驅物氣體與該第二還原劑。
  6. 如請求項1所述之方法,進一步包括:在該第一鎢覆蓋物層上沉積一第二鎢覆蓋物層,包括: 於一第三處理壓力下同步地將該基板暴露於一第三含鎢前驅物氣體與一第三還原劑,其中 該第二處理壓力是該第三處理壓力的至少四倍大。
  7. 如請求項1所述之方法,進一步包括:在該第一鎢覆蓋物層上沉積一第二鎢覆蓋物層,包括:於一第三處理壓力下將該基板暴露於一第三含鎢前驅物氣體與一第三還原劑的多個交替脈衝,其中 該第二處理壓力是該第三處理壓力的至少三倍大。
  8. 如請求項7所述之方法,其中沉積該第二鎢覆蓋物層進一步包括: 在該第三含鎢前驅物氣體與該第三還原劑的多個交替脈衝之間使一惰性淨化氣體流入。
  9. 一種沉積膜之方法,包括: 將該第一處理空間維持在一第一處理壓力下的同時,藉由同步地使一第一含鎢前驅物氣體與一第一還原劑流進一第一處理空間且使一基板暴露於該第一含鎢前驅物氣體與該第一還原劑,而將一鎢塊體填充材料沉積至該基板之一第一材料層中所形成的複數個開口中;以及 將一第一鎢覆蓋物層沉積至該鎢塊體填充材料與該基板之一場表面上,包括:將該第二處理空間維持在一第二處理壓力下的同時,於一第二處理空間中將該基板暴露至一第二含鎢前驅物氣體與一第二還原劑的多個交替脈衝,其中 該第二處理壓力是該第一處理壓力的至少三倍大。
  10. 如請求項9所述之方法,其中該第一還原劑包括氫氣(H 2),且該第二還原劑包括二硼烷氣體、含矽烷氣體、或上述各項之組合。
  11. 如請求項9所述之方法,其中沉積該第一鎢覆蓋物層包括:將該第二處理空間維持在介於約150托耳和約300托耳之間的一壓力的同時,同步地使該第二含鎢前驅物氣體與該第二還原劑流進該第二處理空間且使該基板暴露於該第二含鎢前驅物氣體與該第二還原劑。
  12. 如請求項9所述之方法,進一步包括在該第一鎢覆蓋物層上沉積一第二鎢覆蓋物層,包括:將該第二處理空間維持在介於約900毫托耳和約100托耳之間的一壓力的同時,同步地使一第三含鎢前驅物氣體與一第三還原劑流進該第二處理空間。
  13. 如請求項9所述之方法,進一步包括沉積一第二鎢覆蓋物層,包括下述之依序重複操作: (a)將該基板暴露於一第三含鎢前驅物氣體;以及 (b)將該基板暴露於一第三還原劑。
  14. 如請求項13所述之方法,進一步包括: (c)在(a)與(c)之間將一淨化氣體流進該第二處理空間。
  15. 如請求項9所述之方法,進一步包括:在沉積該鎢塊體填充材料之前,將一基板暴露於一處理氣體之一自由基物種,該基板進一步包括一鎢成核層,該鎢成核層形成於該第一材料層上並且共形地加襯該複數個開口,其中將該基板暴露於該自由基物種形成一抑制分佈曲線,以相對於該鎢塊體填充材料在該複數個開口內的多個表面上的沉積抑制該鎢塊體填充材料在該鎢成核層之一場表面上的沉積。
  16. 如請求項15所述之方法,其中形成該自由機物種包括: 使該處理氣體流進一處理空間; 點燃且維持該處理氣體之一處理電漿;以及 將該基板暴露於該處理電漿。
  17. 一種基板處理系統,包括: 一第一處理腔室、一第二處理腔室、及將該第一處理腔室耦接該第二處理腔室的一移送腔室;以及 一非暫態電腦可讀媒體,該非暫態電腦可讀媒體上儲存有多個指令,用於當由一處理器實行時執行處理基板之方法,該方法包括: 使用該第一處理腔室將一阻障材料層沉積至該基板上,其中該基板包括一材料層,該材料層具有形成於該材料層中的複數個開口; 使用該第二處理腔室將一鎢塊體填充材料沉積至該複數個開口中,藉由下述方式執行:於一第一處理壓力下或低於該第一處理壓力將該基板暴露於一第一含鎢前驅物氣體及一第一還原劑;以及 在該鎢塊體填充材料上面沉積一第一鎢覆蓋物層,包含:在一第二處理壓力下將該基板暴露於一第二含鎢前驅物氣體及一第二還原劑,其中 該第二處理壓力是該第一處理壓力的至少三倍大。
  18. 如請求項17所述之基板處理系統,進一步包括:在沉積該鎢塊體填充材料之前,沉積一鎢成核層並且將該鎢成核層暴露於一處理氣體之一自由基物種。
  19. 如請求項17所述之基板處理系統,其中將一鎢塊體填充材料沉積至該複數個開口中包括: 同步地使一含鎢前驅物氣體與一還原劑流進一處理空間且將該基板暴露於該含鎢前驅物氣體與該還原劑,其中該含鎢前驅物氣體是以介於約900毫托耳和約100托耳之間的一壓力流入。
  20. 如請求項17所述之基板處理系統,其中將一鎢塊體填充材料沉積至該複數個開口中包括: 使該基板暴露於一前驅物氣體與一還原劑之依序的重複操作,且其中該前驅物氣體是以介於約900毫托耳和約100托耳之間的一壓力流入。
TW111113797A 2021-05-10 2022-04-12 形成無空隙及接縫的金屬特徵的方法 TW202307246A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/316,649 US20220359279A1 (en) 2021-05-10 2021-05-10 Methods of forming void and seam free metal features
US17/316,649 2021-05-10

Publications (1)

Publication Number Publication Date
TW202307246A true TW202307246A (zh) 2023-02-16

Family

ID=83900656

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111113797A TW202307246A (zh) 2021-05-10 2022-04-12 形成無空隙及接縫的金屬特徵的方法

Country Status (6)

Country Link
US (1) US20220359279A1 (zh)
JP (1) JP2024518504A (zh)
KR (1) KR20240003448A (zh)
CN (1) CN117529797A (zh)
TW (1) TW202307246A (zh)
WO (1) WO2022240503A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271129B1 (en) * 1997-12-03 2001-08-07 Applied Materials, Inc. Method for forming a gap filling refractory metal layer having reduced stress
US7592256B2 (en) * 2001-08-14 2009-09-22 Tokyo Electron Limited Method of forming tungsten film
CN102265383B (zh) * 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US9169556B2 (en) * 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
KR102404056B1 (ko) * 2017-11-16 2022-05-31 삼성전자주식회사 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
CN117529797A (zh) 2024-02-06
WO2022240503A1 (en) 2022-11-17
US20220359279A1 (en) 2022-11-10
JP2024518504A (ja) 2024-05-01
KR20240003448A (ko) 2024-01-09

Similar Documents

Publication Publication Date Title
US10269633B2 (en) Method of enabling seamless cobalt gap-fill
US10699946B2 (en) Method of enabling seamless cobalt gap-fill
TWI809712B (zh) 用於在基板上形成鈷層的方法
US9748105B2 (en) Tungsten deposition with tungsten hexafluoride (WF6) etchback
KR101263856B1 (ko) 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
KR20040058239A (ko) 구리 금속화 어플리케이션을 위한 에이엘디 탄탈 질화물및 알파-위상 탄탈의 통합
KR20150111302A (ko) 텅스텐막의 성막 방법, 반도체 장치의 제조 방법 및 기억 매체
TW202305161A (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
KR20160079031A (ko) 텅스텐막의 성막 방법
JP4711624B2 (ja) 銅電極形成アプリケーションのためのald窒化タンタル及びアルファ相タンタルの集積
TW202307246A (zh) 形成無空隙及接縫的金屬特徵的方法
US20240047268A1 (en) Methods for forming multi-tier tungsten features
US20230369113A1 (en) Methods for forming multi-tier tungsten features
US20240162089A1 (en) Surface depassivation with thermal etch after nitrogen radical treatment
US20240087955A1 (en) Integrated pvd tungsten liner and seamless cvd tungsten fill
US20230290679A1 (en) Tungsten molybdenum structures
KR101907971B1 (ko) 반도체 소자의 콘택플러그용 금속 증착 방법
WO2024102963A1 (en) Surface depassivation with thermal etch after nitrogen radical treatment
TW202412080A (zh) 積體pvd鎢襯墊及無縫cvd鎢填充