TW202303863A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW202303863A
TW202303863A TW111107028A TW111107028A TW202303863A TW 202303863 A TW202303863 A TW 202303863A TW 111107028 A TW111107028 A TW 111107028A TW 111107028 A TW111107028 A TW 111107028A TW 202303863 A TW202303863 A TW 202303863A
Authority
TW
Taiwan
Prior art keywords
metal
wafer
metal elements
elements
top surface
Prior art date
Application number
TW111107028A
Other languages
English (en)
Inventor
盧俊良
陳威霖
周俊豪
李國政
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303863A publication Critical patent/TW202303863A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/033Manufacturing methods by local deposition of the material of the bonding area
    • H01L2224/0333Manufacturing methods by local deposition of the material of the bonding area in solid form
    • H01L2224/03334Manufacturing methods by local deposition of the material of the bonding area in solid form using a preform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0605Shape
    • H01L2224/06051Bonding areas having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/065Material
    • H01L2224/06505Bonding areas having different materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/0805Shape
    • H01L2224/08057Shape in side view
    • H01L2224/08058Shape in side view being non uniform along the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08121Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/0905Shape
    • H01L2224/09051Bonding areas having different shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11466Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1183Reworking, e.g. shaping
    • H01L2224/11845Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1701Structure
    • H01L2224/1703Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/731Location prior to the connecting process
    • H01L2224/73101Location prior to the connecting process on the same surface
    • H01L2224/73103Bump and layer connectors
    • H01L2224/73104Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80096Transient conditions
    • H01L2224/80097Heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/802Applying energy for connecting
    • H01L2224/80201Compression bonding
    • H01L2224/80203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/80815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9211Parallel connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Wire Bonding (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文描述的一些實施提供一種半導體結構。該半導體結構包括第一晶圓,第一晶圓包括第一晶圓主體內的第一金屬結構。該半導體結構亦包括第二晶圓,第二晶圓包括第二晶圓主體內的第二金屬結構,其中第一晶圓在一界面處耦接至第二晶圓。該半導體結構進一步包括耦接至第一金屬結構及第二金屬結構且延伸穿過該界面的金屬接合結構。

Description

金屬接合結構及其製造方法
三維積體電路(three dimensional integrated circuit,3DIC)係一種半導體封裝類型,其中使用例如封裝堆疊(package-on-package,PoP)或封裝體系(system-in-package,SiP)封裝技術將多個半導體晶粒彼此堆疊。3DIC提供改善的積體密度及其他優勢,諸如更快的速度及更高的頻寬,因為舉例而言,堆疊晶粒之間的互連件長度減小。
混合接合係用於3DIC或其他類型之電子裝置的一種接合程序,其中兩個半導體晶圓接合在一起。混合接合方法包括使用熔合接合形成非金屬-非金屬接合及使用共晶金屬接合形成金屬-金屬接合。
以下揭示內容提供用於實施所提供標的物的不同特徵的許多不同實施例、或實例。下文描述組件及配置的特定實例以簡化本揭露。當然,這些僅為實例且非意欲為限制性的。舉例而言,在以下描述中第一特徵於第二特徵上方或上的形成可包括第一特徵與第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間使得第一特徵與第二特徵可不直接接觸的實施例。此外,本揭露在各種實例中可重複參考數字及/或字母。此重複係出於簡單及清楚之目的,且本身且不指明所論述之各種實施例及/或組態之間的關係。
此外,為了便於描述,在本文中可使用空間相對術語,諸如「在……下面」、「在……之下」、「下部」、「在……之上」、「上部」及類似者,來描述諸圖中圖示之一個元件或特徵與另一(多個)元件或特徵之關係。空間相對術語意欲涵蓋除了諸圖中所描繪的定向以外的裝置在使用或操作時的不同定向。裝置可另外定向(旋轉90度或處於其他定向),且本文中所使用之空間相對描述符可類似地加以相應解釋。
晶圓堆疊或混合接合(hybrid bonding,HB)被視為用於改善互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)技術以及其他接合技術(諸如陽極、熱壓、玻璃膠、黏合劑、熔合、及表面活化接合技術)的性能的解決方案。CMOS積體電路(CMOS integrated circuit,CIS)可包括第一晶圓(例如,特定功能積體電路(application-specific integrated circuit,ASIC))及第二晶圓(例如,系統單晶片(system on chip,SOC))。可添加密封環結構以在界面處耦接第一晶圓與第二晶圓。密封圈結構可提高可靠性及/或減少晶粒鋸切應力及進入CIS電路的污染物。然而,由於施加於密封圈結構的應力超過密封圈結構的容許度,密封圈結構可能失效(例如,開裂)。
本文所述的一些實施提供進一步提高可靠性及/或減少晶粒鋸切應力及釋放至CIS電路或其他電子裝置中的污染物的技術及裝置。舉例而言,一或多個半導體處理工具可在第一晶圓與第二晶圓之間的界面中設置金屬(例如,銅)接合結構(例如,作為密封環結構的部分或獨立於密封環結構)。在一些實施中,金屬接合結構可設置於第一晶圓的基板、介電結構、或其他材料內(例如,完全、部分在其內、或在與其相同的層中),且可延伸至界面或穿過界面進入第二晶圓中。在一些實施中,金屬接合結構可設置於第二晶圓的基板、介電結構、或其他材料內,且可延伸至界面或穿過界面延伸至第一晶圓中。舉例而言,金屬接合結構可設置於(例如,耦接至)第一晶圓內的金屬結構及/或第二晶圓內的金屬結構上。以這種方式,可藉由金屬材料在界面處支撐CIS,該金屬材料可減少第一晶圓與第二晶圓之間的橫向位移,這可減少第一晶圓與第二晶圓之間開裂的可能性。
儘管本揭露描述一CIS,但所述特徵及技術同樣適用於其他類型之電子裝置,諸如與記憶體裝置堆疊的邏輯裝置。
第1圖係實例環境100的示意圖,其中可實施本文所述的系統及/或方法。如第1圖中所示,環境100可包括複數個半導體處理工具102~108及晶圓/晶粒傳輸工具110。複數個半導體處理工具102~108可包括沉積工具102、蝕刻工具104、平坦化工具106、接合工具108、及/或另一半導體處理工具。實例環境100中包括的工具可包括於半導體潔淨室、半導體代工、半導體處理及/或製造設施、或另一位置中。
沉積工具102係一種半導體處理工具,能夠在基板上沉積各種類型之材料。在一些實施例中,沉積工具102包括能夠在諸如晶圓的基板上沉積光阻劑層的旋塗工具。在一些實施中,沉積工具102包括諸如電漿增強CVD (plasma-enhanced CVD,PECVD)工具、高密度電漿CVD (high-density plasma CVD,HDP-CVD)工具、次常壓CVD (sub-atmospheric CVD,SACVD)工具的化學氣相沉積(chemical vapor deposition,CVD)工具、原子層沉積(atomic layer deposition,ALD)工具、電漿增強原子層沉積(plasma-enhanced atomic layer deposition,PEALD)工具、或另一類型之CVD工具。在一些實施中,沉積工具102包括物理氣相沉積(physical vapor deposition,PVD)工具,諸如濺射工具或另一類型之PVD工具。在一些實施中,實例環境100包括複數種類型之沉積工具102。
蝕刻工具104係能夠蝕刻基板、晶圓、或半導體裝置的各種類型之材料的半導體處理工具。舉例而言,蝕刻工具104可包括濕式蝕刻工具、乾式蝕刻工具、及/或另一類型之蝕刻工具。濕式蝕刻工具可包括化學蝕刻工具或包括填充有蝕刻劑的腔室的另一類型之濕式蝕刻工具。基板可在腔室中置放特定時間段,以移除基板的一或多個部分的特定量。乾式蝕刻工具可包括電漿蝕刻工具、雷射蝕刻工具、反應離子蝕刻工具、或氣相蝕刻工具、以及其他實例。乾式蝕刻工具可使用濺射技術、電漿輔助蝕刻技術(例如,電漿濺射技術或涉及使用電離氣體以各向同性或定向蝕刻一或多個部分的另一類型之技術)、或另一類型之乾式蝕刻技術來移除基板的一或多個部分。
平坦化工具106係一種半導體處理工具,能夠研磨或平坦化晶圓或半導體裝置的各個層。舉例而言,平坦化工具106可包括化學機械平坦化(mechanical planarization,CMP)工具及/或對經沉積或經電鍍材料的層或表面進行研磨或平坦化的另一類型之平坦化工具。平坦化工具106可使用化學與機械力之組合(例如,化學蝕刻及游離磨料研磨)研磨或平坦化半導體裝置的表面。平坦化工具106可結合研磨墊及擋圈(例如,通常直徑大於半導體裝置)利用研磨性及腐蝕性化學漿料。研磨墊及半導體裝置可由動態研磨頭壓在一起,且由擋圈固定到位。動態研磨頭可以不同的旋轉軸旋轉,以移除材料且平整半導體裝置的任何不規則形貌,使半導體裝置係平坦的或平面的。
接合工具108係一種半導體處理工具,能夠將兩個或兩個以上晶圓(或兩個或兩個以上半導體基板、或兩個或兩個以上半導體裝置)接合在一起。舉例而言,接合工具108可包括能夠在兩個或兩個以上晶圓之間形成共晶接合的共晶接合工具。在這些實例中,接合工具108可加熱兩個或兩個以上晶圓以在兩個或兩個以上晶圓的材料之間形成共晶系統。
晶圓/晶粒傳輸工具110包括移動式機器人、機械手臂、有軌電車或軌道車、架空起重轉移(overhead hoist transfer,OHT)車輛、自動化物料搬運系統(automated material handling system,AMHS)、及/或另一類型之工具,用於在半導體處理工具102~108之間及/或在晶圓機架、儲藏室、或另一位置之間傳輸晶圓及/或晶粒。在一些實施中,晶圓/晶粒傳輸工具110可係程控工具以行進特定路徑及/或可半自主或自主操作。
第1圖中所示工具的數目及配置係作為一或多個實例提供。實務上,與第1圖中所示的工具相比,可能會有額外工具、較少工具、不同工具、或不同配置的工具。此外,第1圖中所示的兩個或兩個以上工具可在單個工具內實施,或者第1圖中所示的單個工具可實施為多個分散式工具。另外或其他,環境100的工具集(例如,一或多個工具)可執行描述為由環境100的另一工具集執行的一或多個功能。
第2圖係本文描述的實例電子裝置200的示意圖。第2圖顯示使用混合接合將第一晶圓接合至第二晶圓,其中金屬接合結構自設置於第一晶圓內的金屬結構延伸至設置於第二晶圓內的金屬結構。在一些實施例中,電子裝置200包括第2圖中未顯示的一或多個層,諸如一或多個阻障層、黏合層、金屬閘極、基板、互連件、凹槽(例如,通孔)、或半導體結構、以及其他實例。在一些實施中,電子裝置200可包括或可包括於互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)影像感測器(CMOS integrated circuit,CIS)、三維積體電路(3-dimensional integrated circuit,IC)、或三維電阻隨機存取記憶體裝置(3-dimensional resistive random access memory device,3D RRAM)、以及其他實例中。
如第2圖中所示,電子裝置200可包括第一晶圓202,其包括主體204。主體204可包括一或多個材料層,諸如介電材料、多晶矽材料、及/或基板材料。介電材料可包括一或多個金屬間介電質、一或多個層間介電質、或一或多個介電接合結構、以及其他介電半導體結構。舉例而言,介電材料可包括聚合物層、氮化矽層、及/或二氧化矽層(例如,在主體204的底表面上以便於接合)、以及其他實例。多晶矽材料可包括、或可包括於一或多個半導體裝置中,諸如電晶體(例如,作為電晶體的閘極)。基板材料可包括半導體晶粒基板、半導體晶圓、或可在其內及/或其上形成半導體裝置的另一類型之基板。在一些實施中,基板材料由矽(Si)、包括矽的材料、諸如砷化鎵(GaAs)的III-V化合物半導體材料、絕緣體上矽(silicon on insulator,SOI)、或另一類型之半導體材料形成。
第一晶圓202包括設置於主體204內的一或多個金屬結構206。一或多個金屬結構206可包括多個金屬材料層及/或可設置於主體204的多個層內。舉例而言,一或多個金屬結構206可在主體204內提供晶格結構。在一些實施中,一或多個金屬結構206中之一金屬結構206具有大於一或多個金屬結構206的厚度(例如,在正交於第一晶圓202的層的方向的方向上,如第2圖中的由上而下方向所示)的寬度(例如,在第一晶圓202的層的方向上,如第2圖中的自左至右方向所示)。另外或其他,寬度可在平行於第一晶圓202的主體204的頂表面的方向延伸。在一些實施中,一或多個金屬結構206以一深度(例如,如第2圖中自第一晶圓202的底表面量測)嵌入主體204中,該深度小於一或多個金屬結構206的寬度。以這種方式,一或多個金屬結構206可為第一晶圓202提供金屬接合結構可連接至的錨,以為電子裝置200提供結構支撐。
在一些實施中,一或多個金屬結構206中之第一金屬結構206以第一深度嵌入,具有第一寬度,且具有第一厚度,而一或多個金屬結構206中之第二金屬結構206以第二深度嵌入,具有第二寬度,且具有第二厚度,其中第二深度、第二寬度、及/或第二厚度中之一或多者分別不同於第一深度、第一寬度、及/或第一厚度中之一或多者。在一些實施中,一或多個金屬結構206包括銅材料、鎢材料、鈷材料、及/或釕材料、以及其他金屬材料。在一些實施中,一或多個金屬結構206可係電子裝置200的密封環結構的組件。
第一晶圓202亦可包括一或多個半導體結構208,諸如深溝槽結構、淺溝槽結構、邏輯裝置、及/或電晶體結構、以及其他半導體結構。在一些實施中,一或多個半導體結構208與一或多個金屬結構206絕緣及/或提供絕緣至一或多個金屬結構206。以這種方式,一或多個金屬結構206可為電子裝置200提供結構支撐,而不增加一或多個半導體結構208之間短路的可能性。
如第2圖中進一步所示,電子裝置200可包括第二晶圓210,其包括主體212。主體212可包括一或多層的材料,諸如介電材料、多晶矽材料、及/或基板材料。介電材料可包括一或多個金屬間介電質、一或多個層間介電質、或一或多個介電接合結構、以及其他介電半導體結構。舉例而言,介電材料可包括聚合物層、氮化矽層、及/或二氧化矽層(例如,在主體212的頂表面上以便於接合)、以及其他實例。多晶矽材料可包括、或可包括於一或多個半導體裝置中,諸如電晶體(例如,作為電晶體的閘極)。基板材料可包括半導體晶粒基板、半導體晶圓、或可在其內及/或其上形成半導體裝置的另一類型之基板。在一些實施中,基板由矽、包括矽的材料、諸如砷化鎵的III-V化合物半導體材料、絕緣體上矽、或另一類型之半導體材料形成。
第二晶圓210包括設置於主體212內的一或多個金屬結構214。一或多個金屬結構214可包括多個金屬材料層及/或可設置於主體212的多個層內。舉例而言,一或多個金屬結構214可在主體212內提供晶格結構。在一些實施中,一或多個金屬結構214可包括第一數量的金屬材料層,其不同於一或多個金屬結構214的第二數量的金屬材料層。在一些實施中,一或多個金屬結構214的一金屬結構214具有大於一或多個金屬結構206的厚度的寬度。另外或其他,寬度可在平行於第二晶圓210的主體212的頂表面的方向上延伸。在一些實施中,一或多個金屬結構214以小於一或多個金屬結構214的寬度的深度(例如,自第2圖中的第二晶圓210的頂表面量測)嵌入主體212中。以這種方式,一或多個金屬結構214可為第二晶圓210提供金屬接合結構可連接至的錨,以為電子裝置200提供結構支撐。
在一些實施中,一或多個金屬結構214中之第一金屬結構214以第三深度嵌入,具有第三寬度,且具有第三厚度,而一或多個金屬結構214中之第二金屬結構214以第四深度嵌入,具有第四寬度,且具有第四厚度,其中第四深度、第四寬度、及/或第四厚度中之一或多者分別不同於第三深度、第三寬度、及/或第三厚度中之一或多者。在一些實施中,一或多個金屬結構214可具有不同於一或多個金屬結構206的深度、厚度及/或寬度的深度、厚度、及/或寬度。在一些實施中,一或多個金屬結構214包括銅材料、鎢材料、鈷材料、及/或釕材料、以及其他金屬材料。在一些實施中,一或多個金屬結構214可係電子裝置200的密封環結構的組件。
第二晶圓210亦可包括一或多個半導體結構216,諸如深溝槽結構、淺溝槽結構、邏輯裝置、及/或電晶體結構、以及其他半導體結構。在一些實施中,一或多個半導體結構216與一或多個金屬結構214絕緣及/或提供絕緣至一或多個金屬結構214。以這種方式,一或多個金屬結構214可為電子裝置200提供結構支撐,而不增加一或多個半導體結構216之間短路的可能性。
在一些實施中,第一晶圓202及/或第二晶圓210可包括SOC或ASIC。舉例而言,第一晶圓202可包括SOC或ASIC中之一者而第二晶圓210可包括SOC或ASIC中之另一者、第一晶圓202及第二晶圓210兩者均可包括SOC、或第一晶圓202及第二晶圓210兩者均可包括ASIC、以及其他組態中。
第一晶圓202在界面218處接合至第二晶圓210。金屬接合結構220耦接至第一金屬結構206及第二金屬結構214且延伸穿過界面218。金屬接合結構220可包括銅基材料、鎢基材料、鋁基材料、或另一金屬材料。在一些實施中,金屬接合結構220包括延伸穿過界面218的一或多個元件。一或多個元件可以約90度的角度自一或多個金屬結構206延伸至一或多個金屬結構214。以這種方式,與以小於約60度的角度設置的一或多個元件相比,該一或多個元件可提供改善的結構支撐。在一些實施中,一或多個元件可包括自一或多個金屬結構206延伸至界面218的第一組金屬元件及自一或多個金屬結構214延伸至界面218的第二組金屬元件,其中第一組金屬元件中之一或多者接合至第二組金屬元件中之一或多者。換言之,第一組金屬元件中之一些或全部可在界面218處接合至第二組金屬元件中之一些或全部。以這種方式,第一晶圓202可使用金屬鍵接合至第二晶圓210。
基於在第一晶圓202主體204內的金屬結構206與第二晶圓210主體212內的金屬結構214之間延伸的金屬接合結構220,電子裝置200可減少界面218處的開裂及/或減少界面218處的應力。
在一些實施中,第一組金屬元件中之一或多者可與第二組金屬元件中之相應一或多者對準(例如,第一組金屬元件中之一或多者接合至的第二組金屬元件中之一或多者)。換言之,包括第一組金屬元件中之一者及第二組金屬元件中之一者的接合對可與同一邏輯軸對準(例如,可沿同一邏輯軸延伸且以同一邏輯軸為中心)。另外或其他,第一組金屬元件中之該者與第二組金屬元件中之該者可在長度(例如,自金屬結構206或214中之一者至界面218)及/或寬度(例如,沿著主體204或主體212中之一者的一層)上大致相等及/或可具有大致相等的形狀。
在一些實施中,界面218處的第一晶圓202的主體204的表面及界面218處的第二晶圓210的主體212的表面可使用界面218處的主體204及/或主體212中之一或多個黏合層來接合。在一些實施中,主體204可包括多個介電材料的結構,包括聚合物層、氮化矽層、及/或二氧化矽層、以及其他實例介電材料。舉例而言,多個介電材料的結構可包括界面218處的二氧化矽層,基於二氧化矽層組態為使用熱接合黏合至主體212(例如,界面218處主體212的二氧化矽層)。以這種方式,第一晶圓202可使用混合鍵接合至第二晶圓210,該混合鍵包括金屬接合結構220的第一組金屬元件與第二組金屬元件之間的金屬鍵以及主體204與主體212之間的介電鍵(例如,使用熱接合)。在一些實施中,第一晶圓202可使用混合鍵接合至第二晶圓210,該混合鍵可在約100攝氏度至約300攝氏度範圍內的溫度下形成。與可能需要300攝氏度之上的其他接合技術相比,這可節省用於形成混合鍵的能量。
基於在界面218處第一晶圓202與第二晶圓210之間具有混合鍵,且基於在第一晶圓202的主體204內的金屬結構206與第二晶圓210的主體212內的金屬結構214之間延伸的金屬接合結構220,電子裝置200可進一步減少界面218處的開裂及/或可進一步降低界面218處的應力。
如上所述,第2圖係作為實例提供。其他實例可不同於第2圖所述。
第3A圖至第3C圖係本文描述的實例實施300的示意圖。實例實施300可係用於形成電子裝置200的第二晶圓210的實例製程。在一些實施中,晶圓包括ASIC或SOC。第二晶圓210可包括第3A圖至第3C圖中未顯示的一或多個額外裝置、結構、及/或層。
如第3A圖中所示,實例實施300可包括在第二晶圓210的主體212內形成一或多個金屬結構214及/或一或多個半導體結構216。在一些實施中,沉積工具(例如,沉積工具102)將一或多個金屬結構214的材料及/或一或多個半導體結構216的材料沉積於第二晶圓210的主體212內。舉例而言,沉積工具可將一或多個金屬結構214的材料及/或一或多個半導體結構216的材料沉積於第二晶圓210的主體212的凹陷部分(例如,基於蝕刻工具的蝕刻操作形成)內。在一些實施中,沉積工具使用化學氣相沉積或物理氣相沉積、以及其他實例,將一或多個金屬結構214的材料及/或一或多個半導體結構216的材料沉積於第二晶圓210的主體212的凹陷部分內。在一些實施中,沉積工具可在一或多個金屬結構214及/或一或多個半導體結構216的元件上及/或之間沉積第二晶圓210的主體212的一層或多個層。舉例而言,沉積工具可在一或多個金屬結構214的頂表面上沉積一或多個介電層。
在一些實施中,平坦化工具(例如,平坦化工具106)可研磨及/或平坦化第二晶圓210的主體212(例如,在形成一或多個金屬結構214之後及/或在沉積主體212的一或多個層之後),以形成第二晶圓210的一般平面頂表面。以這種方式,第二晶圓210的頂表面(例如主體212)可適於接合至第一晶圓202。
如第3B圖中所示,實例實施300可包括在第二晶圓210的主體212的頂表面中形成一組凹陷部分302。在一些實施中,蝕刻工具(例如,蝕刻工具104)可蝕刻第二晶圓210的主體212的頂表面的一或多個部分,以形成該組凹陷部分302。在一些實施中,蝕刻工具形成該組凹陷部分302,以自第二晶圓210的主體212的頂表面延伸至一或多個金屬結構214。
如第3C圖中所示,實例實施300可包括在第二晶圓210的該組凹陷部分302內沉積一組金屬元件304。在一些實施中,沉積工具(例如,沉積工具102)將該組金屬元件304的材料沉積於該組凹陷部分302內。在一些實施中,沉積工具使用化學氣相沉積、物理氣相沉積、及/或回流、以及其他實例,將該組金屬元件304的材料沉積於第二晶圓210的該組凹陷部分302內。在一些實施中,沉積工具以多個層沉積該組金屬元件304。舉例而言,沉積工具可在用於沉積該組金屬元件304的一製程中沉積一或多個種晶層(例如,3個種晶層)。在一些實施中,沉積工具可在第二晶圓210的該組凹陷部分302內沉積一或多個阻障層及/或黏合層,以減少該組金屬元件304電遷移至主體212及/或減少該組金屬元件304自主體212及/或自一或多個金屬結構214剝離。
如上所示,第3A圖至第3C圖係作為實例提供。其他實例可能不同於關於第3A圖至第3C圖所述。
第4A圖至第4C圖係本文描述的實例實施400的示意圖。實例實施400可係用於形成電子裝置200的第一晶圓202的實例製程。在一些實施中,晶圓包括SOC或ASIC。第一晶圓202可包括第4A圖至第4C圖中未顯示的一或多個額外裝置、結構、及/或層。
如第4A圖中所示,實例實施400可包括在第一晶圓202的主體204內形成一或多個金屬結構206及/或一或多個半導體結構208。在一些實施中,沉積工具(例如,沉積工具102)將一或多個金屬結構206的材料及/或一或多個半導體結構208的材料沉積於第一晶圓202的主體204內。舉例而言,沉積工具可將一或多個金屬結構206的材料及/或一或多個半導體結構208的材料沉積於第一晶圓202的主體204的凹陷部分(例如,基於蝕刻工具的蝕刻操作形成)內。在一些實施中,沉積工具使用化學氣相沉積或物理氣相沉積、以及其他實例,將一或多個金屬結構206的材料及/或一或多個半導體結構208的材料沉積於第一晶圓202的主體204的凹陷部分內。在一些實施中,沉積工具可將第一晶圓202的主體204的一或多個層沉積於一或多個金屬結構206及/或一或多個半導體結構208的元件上及/或元件之間。舉例而言,沉積工具可在一或多個金屬結構206的頂表面上沉積一或多個介電層。
在一些實施中,平坦化工具(例如,平坦化工具106)研磨及/或平坦化第一晶圓202的主體204(例如,在形成一或多個金屬結構206之後及/或在沉積主體204的一或多個層之後),以形成第一晶圓202的一般平面頂表面。以這種方式,第一晶圓202的頂表面(例如,主體204)可適於接合至第二晶圓210。
如第4B圖中所示,實例實施400可包括在第一晶圓202的主體204的頂表面中形成一組凹陷部分402。在一些實施中,蝕刻工具(例如,蝕刻工具104)蝕刻第一晶圓202的主體204的頂表面的一或多個部分,以形成該組凹陷部分402。在一些實施例中,蝕刻工具形成該組凹陷部分402,以自第一晶圓202的主體204的頂表面延伸至一或多個金屬結構206。
如第4C圖中所示,實例實施400可包括在第一晶圓202的該組凹陷部分402內沉積一組金屬元件404。在一些實施中,沉積工具(例如,沉積工具102)將該組金屬元件404的材料沉積於該組凹陷部分402內。在一些實施例中,沉積工具使用化學氣相沉積、物理氣相沉積、及/或回流、以及其他實例,將該組金屬元件404的材料沉積於第一晶圓202的該組凹陷部分402內。在一些實施中,沉積工具以多個層沉積該組金屬元件404。舉例而言,沉積工具可在用於沉積該組金屬元件404的一製程中沉積一或多個種晶層(例如,3個種晶層)。在一些實施中,沉積工具可在第一晶圓202的該組凹陷部分402內沉積一或多個阻障層及/或黏合層,以減少該組金屬元件404電遷移至主體204及/或減少該組金屬元件404自主體204及/或自一或多個金屬結構206剝離。
在一些實施中,該組金屬元件404可由與該組金屬元件304(如第3C圖中所示)相同的材料形成。舉例而言,該組金屬元件404及該組金屬元件304可由銅基材料、鎢基材料、或鈦基材料、以及其他實例形成。
如上所示,第4A圖至第4C圖係作為實例提供。其他實例可能不同於關於第4A圖至第4C圖所述。在一些實施中,實例實施400可係與實例實施300相同的製程。在一些實施中,基於一或多個金屬結構206與一或多個金屬結構214之間的差異,實例實施400可不同於實例300。另外或其他,實例實施400可包括在第一晶圓202上形成ASIC,而實例實施300可包括在第二晶圓210上形成SOC,反之亦然。
第5A圖至第5C圖係本文描述的實例實施500的示意圖。實例實施500可係用於將第一晶圓202接合至第二晶圓210以形成電子裝置200的實例製程。在一些實施中,第一晶圓202及/或第二晶圓210包括SOC。另外或其他,第一晶圓202及/或第二晶圓210包括ASIC。第一晶圓202及/或第二晶圓210可包括第5A圖至第5C圖中未顯示的一或多個額外裝置、結構、及/或層。
如第5A圖中所示,翻轉第一晶圓202,使得第4A圖至第4C圖中顯示為第一晶圓202頂表面的表面現在顯示為第5A圖中第一晶圓202的底表面。在一些實施中,晶圓/晶粒傳輸工具(例如,晶圓/晶粒傳輸工具110)翻轉第一晶圓202。晶圓/晶粒傳輸工具可將該組金屬元件404定位為近接、對準、及/或接觸該組金屬元件304。或者,晶片/晶粒傳輸工具可翻轉第二晶片210以定位該組金屬元件304,使其近接、對準、及/或接觸該組金屬元件404。
如第5B圖中所示,可將熱量502施加於第一晶圓202的底表面及/或第二晶圓210的頂表面。在一些實施中,接合工具(例如,接合工具108)將熱量502施加於第一晶圓202的底表面及/或第二晶圓210的頂表面。接合工具可在約100攝氏度至約300攝氏度範圍內的溫度下施加熱量502。舉例而言,該溫度可與該組金屬元件304及/或該組金屬元件404的材料的熔化溫度相關聯。以這種方式,第一晶圓202的(例如,基於氧化物材料的)接合層可與第二晶圓210的接合層接合。另外或其他,該組金屬元件304可與該組金屬元件404形成一鍵(例如,共價鍵),使用比僅使用介電材料的接合層形成的鍵更低的熱量502。這可節省與製造包括第一晶圓202及第二晶圓210的電子裝置(例如,電子裝置200)相關聯的能源。
如第5C圖中所示,第一晶圓202可基於第一晶圓202的底表面接觸第二晶圓210的頂表面而接合至第二晶圓210。舉例而言,第一晶圓202可基於施加於第一晶圓202的底表面及第二晶圓210的頂表面的熱量及/或壓力而接合至第二晶圓210。在一些實施中,接合工具(例如,接合工具108)及/或晶圓/晶粒傳輸工具(例如,晶圓/晶粒傳輸工具110)可將第一晶圓202定位成與第二晶圓210接觸。
如第5C圖中所示,該組金屬元件304與該組金屬元件404可接合在一起以形成金屬接合結構220。金屬接合結構220包括一或多個金屬元件504,其穿過界面218(例如,接合界面)自一或多個金屬結構206延伸至一或多個金屬結構214。基於在一或多個金屬結構206與一或多個金屬結構214之間延伸且耦接的一或多個金屬元件504,第一晶圓202與第二晶圓210之間的一鍵可改善。舉例而言,該鍵可導致第一晶圓202與第二晶圓210之間的橫向位移減小,這可降低第一晶圓202與第二晶圓210之間開裂的可能性。
如上所示,第5A圖至第5C圖係作為實例提供。其他實例可能不同於關於第5A圖至第5C圖所述。
第6A圖至第6I圖係本文描述的實例半導體結構200的示意圖。實例半導體結構200可包括第2圖至第5C圖中所示的該組金屬元件304、該組金屬元件404、一或多個金屬元件504及/或金屬接合結構220的替選方案。所述替選方案可包括於本文所述的晶圓(例如,第一晶圓202及/或第二晶圓210)中,且可替換、或補充關於第2圖至第5C圖中所述的相應元件。第6A圖至第6I圖中所示的實例半導體結構200可包括混合接合區域602,其中該組金屬元件304、該組金屬元件404、一或多個金屬元件504、及/或金屬接合結構220接合,且其中主體204的介電材料與主體212的介電材料接合。替選方案可藉由考慮用不同形狀及未對準金屬元件將第一晶圓202接合至第二晶圓210來簡化製造製程。
如第6A圖中所示,該組金屬元件404中之一或多者可具有與該組金屬元件404中之一或多個其他者不同的寬度。類似地,該組金屬元件304中之一或多者可具有與該組金屬元件304中之一或多個其他者不同的寬度。如第6A圖中所示,該組金屬元件304與該組金屬元件404的成對接合元件可具有不等的寬度。在一些實施中,該組金屬元件404的第一金屬元件404接合至該組金屬元件304的第二金屬元件304,其中第一金屬元件404具有第一寬度,而第二金屬元件304具有大於第一寬度的第二寬度。在一些實施中,該組金屬元件404的第三金屬元件404可接合至該組金屬元件304的第四金屬元件304,其中第三金屬元件404具有第三寬度,而第四金屬元件304具有小於第三寬度的第四寬度。
如第6B圖中所示,該組金屬元件404中之一或多者及/或該組金屬元件304中之一或多者可具有傾斜的側表面。舉例而言,該組金屬元件404中之一或多者及/或該組金屬元件304中之一或多者可具有梯形截面。在一些實施中,該組金屬元件404中之一或多者及/或該組金屬元件304中之一或多者在耦接至一或多個金屬結構214之第一金屬結構214或一或多個金屬結構206之第二金屬結構206的第一末端處具有第一寬度,以及在設置於界面218處的第二末端處具有第二寬度。在一些實施中,第一寬度大於第二寬度。或者,第一寬度可小於第二寬度。
如第6B圖中所示,該組金屬元件404中之一或多者可具有與該組金屬元件404中之一或多個其他者不同的形狀。類似地,該組金屬元件304中之一或多者可具有與該組金屬元件304中之一或多個其他者不同的形狀。在一些實施中,該組金屬元件304與該組金屬元件404的成對接合元件可具有不同的形狀。在一些實施中,該組金屬元件404之第一金屬元件404接合至該組金屬元件304之第二金屬元件304,其中第一金屬元件404具有第一形狀,而第二金屬元件304具有不同於第一形狀的第二形狀。
亦如第6C圖中所示,該組金屬元件404可具有金屬元件,其具有與該組金屬元件404中之一或多個其他者不同的形狀及/或不同的寬度。類似地,該組金屬元件304可具有金屬元件,其具有與該組金屬元件304中之一或多個其他者不同的形狀及/或不同的寬度。在一些實施中,該組金屬元件304與該組金屬元件404的成對接合元件可具有不同的形狀及/或寬度。
如第6D圖及第6E圖中所示,該組金屬元件304與該組金屬元件404的成對接合元件可能不會沿同一邏輯軸(例如,正交於界面218的邏輯軸)對準。舉例而言,該組金屬元件404之第一金屬元件404可接合至該組金屬元件304之第二金屬元件304,其中第一金屬元件404沿著自第一金屬結構206至界面218的第一邏輯軸延伸,且以第一邏輯軸為中心。第二金屬元件304可沿著自第二金屬結構214至界面218的第二邏輯軸延伸,且以第二邏輯軸為中心,其中第一邏輯軸自第二邏輯軸橫向移位。如第6D圖中所示,該組金屬元件404可沿第一組邏輯軸延伸且以第一組邏輯軸為中心,而該組金屬元件304可沿第二組邏輯軸延伸且以第二組邏輯軸為中心,該第二組邏輯軸隨著向第6D圖左側的一位移而自第一組邏輯軸橫向移位。或者,如第6E圖中所示,該組金屬元件404可沿第一組邏輯軸延伸,且以第一組邏輯軸為中心,而該組金屬元件304可沿第二組邏輯軸延伸,且以第二組邏輯軸為中心,該第二組邏輯軸隨著向第6E圖右側的一位移而自第一組邏輯軸橫向移位。
如第6F圖及第6G圖中所示,該組金屬元件304的第一子集可接合至該組金屬元件404的第一子集,而該組金屬元件304的第二子集可與該組金屬元件404的第二子集分離(例如,未接合)。舉例而言,該組金屬元件404可包括未接合至該組金屬元件304的任意金屬元件的至少一個金屬元件404。
如第6F圖中所示,未接合至該組金屬元件304的任意金屬元件的至少一個金屬元件404可包括非連續金屬元件404。換言之,該至少一個金屬元件404的第一元件,可藉由該組金屬元件404的接合至該組金屬元件304的一金屬元件304的一金屬元件,與該至少一個金屬元件404的第二元件分離。以這種方式,即使金屬接合結構220包括未接合至該組金屬元件304的任意金屬元件的金屬元件404中之至少一者,金屬接合結構亦可提供跨越界面218的結構支撐而沒有局部缺陷。
如第6G圖中所示,一或多個金屬結構206及一或多個金屬結構214中之各者可透過該組金屬元件304與該組金屬元件404的至少一個接合對連接。以這種方式,一或多個金屬結構214中之各者可為第一晶圓202與第二晶圓210之間的接合提供結構支撐。
如第6H圖及第6I圖中所示,該組金屬元件304的第一子集可接合至該組金屬元件404的第一子集,而該組金屬元件304的第二子集可與該組金屬元件404的第二子集分離。舉例而言,該組金屬元件404可包括不延伸至界面218的至少一個金屬元件404及/或該組金屬元件304可包括不延伸至界面218的至少一個金屬元件304。在一些實施中,在將第一晶圓202接合至第二晶圓210之前,一或多個半導體工具(例如,接合工具108或沉積工具102)可將焊料凸塊604沉積於該組金屬元件404的一或多個元件上及/或該組金屬元件304上。在一些實施中,該組金屬元件404的一或多個元件透過設置於界面218處的焊料凸塊604接合至第二金屬元件304。
在一些實施例中,焊料凸塊604包括焊料材料,諸如錫-銀材料、錫、錫-金材料、及/或無鉛材料、以及其他實例。在一些實施,焊料凸塊604改善由焊料凸塊604分離的該組金屬元件304之第二子集與該組金屬元件404之第二子集之間的黏合及/或接合。
如第6H圖中所示,透過焊料凸塊接合至該組金屬元件304之金屬元件的該至少一個金屬元件404可包括非連續金屬元件404。換言之,該至少一個金屬元件404的透過焊料凸塊接合至該組金屬元件304的一元件的第一元件,可藉由該組金屬元件404的無需焊料凸塊而接合至該組金屬元件304的一金屬元件304的一金屬元件,與該至少一個金屬元件404的透過焊料凸塊接合至該組金屬元件304的另一者的第二元件分離。以這種方式,金屬接合結構220可包括透過沿著界面218間隔開的焊料凸塊接合至該組金屬元件304的一金屬元件的至少一個金屬元件404,以提供額外的結構支撐。
如上所示,第6A圖至第6I圖係作為實例提供。其他實例可不同於關於第6A圖至第6I圖所述。
第7圖係裝置700的實例組件的示意圖,其可對應於沉積工具102、蝕刻工具104、平坦化工具106、接合工具108、及/或晶圓/晶粒傳輸工具110。在一些實施中,沉積工具102、蝕刻工具104、平坦化工具106、接合工具108、及/或晶圓/晶粒傳輸工具110可包括一或多個裝置700及/或裝置700的一或多個組件。如第7圖中所示,裝置700可包括匯流排710、處理器720、記憶體730、儲存組件740、輸入組件750、輸出組件760、及通訊組件770。
匯流排710包括一組件,用於在裝置700的組件之間使能有線及/或無線通訊。處理器720包括中央處理單元、圖形處理單元、微處理器、控制器、微控制器、數位訊號處理器、現場可程式閘陣列、特定功能積體電路、及/或另一類型之處理組件。處理器720以硬體、韌體、或硬體與軟體之組合實施。在一些實施中,處理器720包括能夠經程式化以執行功能的一或多個處理器。記憶體730包括隨機存取記憶體、唯讀記憶體、及/或另一類型之記憶體(例如,快閃記憶體、磁記憶體、及/或光記憶體)。
儲存組件740儲存與裝置700的操作相關的資訊及/或軟體。舉例而言,儲存組件740可包括硬碟驅動器、磁碟驅動器、光碟驅動器、固態磁碟驅動器、緊湊型光碟、多樣化數位光碟、及/或另一類型之非暫時性電腦可讀媒體。輸入組件750使得裝置700能夠接收輸入,諸如使用者輸入及/或感測輸入。舉例而言,輸入組件750可包括觸控式螢幕、鍵盤、小鍵盤、滑鼠、按鈕、麥克風、開關、感測器、全球定位系統組件、加速計、陀螺儀、及/或致動器。輸出組件760使得裝置700能夠提供輸出,諸如透過顯示器、揚聲器、及/或一或多個發光二極體。通訊組件770使得裝置700能夠與其他裝置通訊,諸如透過有線連接及/或無線連接。舉例而言,通訊組件770可包括接收機、發射機、收發器、調變器、網路介面卡、及/或天線。
裝置700可執行本文所述的一或多個製程。舉例而言,非暫時性電腦可讀媒體(例如,記憶體730及/或儲存組件740)可儲存一組指令(例如,一或多個指令、碼、軟體碼、及/或程式碼),以供處理器720執行。處理器720可執行指令集以執行本文所述的一或多個製程。在一些實施中,由一或多個處理器720執行指令集,使得一或多個處理器720及/或裝置700執行本文所述的一或多個製程。在一些實施中,可使用固線式電路系統代替指令或與指令組合來執行本文所述的一或多個製程。因此,本文描述的實施不限於硬體電路系統與軟體的任何特定組合。
第7圖中所示組件的數目及配置係作為實例提供。與第7圖中所示的組件相比,裝置700可包括額外組件、更少組件、不同組件、或不同配置的組件。另外或其他,裝置700的組件集(例如,一或多個組件)可執行描述為由裝置700的另一組件集執行的一或多個功能。
第8圖係與金屬接合結構及其製造方法相關聯的實例製程800的流程圖。在一些實施中,第8圖的一或多個製程方塊可由一或多個半導體處理工具(例如,沉積工具102、蝕刻工具104、平坦化工具106、接合工具108、及/或晶圓/晶粒傳輸工具110)執行。另外或其他,第8圖的一或多個製程方塊可由裝置700的一或多個組件執行,諸如處理器720、記憶體730、儲存組件740、輸入組件750、輸出組件760、及/或通訊組件770。
如第8圖中所示,製程800可包括形成第一晶圓,該第一晶圓包括設置於第一晶圓主體內的第一金屬結構(方塊810)。舉例而言,如上所述,一或多個半導體處理工具可形成第一晶圓202,其包括設置於第一晶圓202的主體204內的第一金屬結構206。
如第8圖中進一步所示,製程800可包括在第一晶圓的頂表面中形成第一組凹陷部分(方塊820)。舉例而言,如上所述,一或多個半導體處理工具可在第一晶圓202的頂表面中形成第一組凹陷部分402。
如第8圖中進一步所示,製程800可包括在第一組凹陷部分內沉積第一組金屬元件(方塊830)。舉例而言,如上所述,一或多個半導體處理工具可在第一組凹陷部分402內沉積第一組金屬元件404。
如第8圖中進一步所示,製程800可包括形成包括第二晶圓主體內的第二金屬結構的第二晶圓(方塊840)。舉例而言,如上所述,一或多個半導體處理工具可形成第二晶圓210,其包括第二晶圓210的主體212內的第二金屬結構214。
如第8圖中進一步所示,製程800可包括在第二晶圓的頂表面中形成第二組凹陷部分(方塊850)。舉例而言,如上所述,一或多個半導體處理工具可在第二晶圓210的頂表面中形成第二組凹陷部分302。
如第8圖中進一步所示,製程800可包括在第二組凹陷部分內沉積第二組金屬元件(方塊860)。舉例而言,如上所述,一或多個半導體處理工具可在第二組凹陷部分302內沉積第二組金屬元件304。
如第8圖中進一步所示,製程800可包括將第一晶圓的頂表面接合至第二晶圓的頂表面(方塊870)。舉例而言,如上所述,一或多個半導體處理工具可將第一晶圓202的頂表面接合至第二晶圓210的頂表面。在一些實施中,第一組金屬元件404的第一金屬元件404接合至第二組金屬元件304的第二金屬元件304。
製程800可包括額外實施,諸如任何單個實施或下文描述的及/或與本文別處描述的一或多個其他製程相關的任何實施之組合。
在第一實施中,製程800包括在第一組凹陷部分402內沉積第一組金屬元件404之後研磨第一晶圓202的頂表面、或在第二組凹陷部分302內沉積第二組金屬元件304之後研磨第二晶圓210的頂表面中之一或多者。
在第二實施中,單獨或與第一實施組合,製程800包括在將第一晶圓202的頂表面接合至第二晶圓210的頂表面之前,在第一組金屬元件404或第二組金屬元件304中之一或多個元件上沉積焊料凸塊。
在第三實施中,單獨或與第一及第二實施中之一或多者組合,第一晶圓202的頂表面及第二晶圓210的頂表面包含熱接合材料。
在第四實施中,單獨或與第一至第三實施中之一或多者組合,將第一晶圓202的頂表面接合至第二晶圓210的頂表面包含施加熱量至第一晶圓202的頂表面及第二晶圓210的頂表面,以將第一晶圓202的頂表面接合至第二晶圓210的頂表面。
儘管第8圖顯示製程800的實例方塊,但在一些實施中,製程800可包括額外方塊、更少方塊、不同方塊、或與第8圖中描繪之方塊不同配置的方塊。另外或其他,製程800的兩個或兩個以上方塊可平行執行。
基於自設置於電子裝置的第一晶圓內的第一金屬結構及設置於電子裝置的第二晶圓內的第二金屬結構延伸的金屬接合結構,電子裝置可支撐於接合界面處,以減少第一晶圓與第二晶圓之間的橫向移動,這可降低第一晶圓與第二晶圓之間開裂的可能性。
如上文更詳細描述的,本文所述的一些實施提供一種半導體結構。該半導體結構包括第一晶圓,第一晶圓包括在第一晶圓主體內的第一金屬結構。該半導體結構亦包括第二晶圓,第二晶圓包括在第二晶圓主體內的第二金屬結構,其中第一晶圓在界面處耦接至第二晶圓。半導體結構進一步包括耦接至第一金屬結構及第二金屬結構且延伸穿過界面的金屬接合結構。
如上文更詳細描述的,本文描述的一些實施提供一種半導體結構的製造方法。該方法包括形成第一晶圓,第一晶圓包括設置於第一晶圓主體內的第一金屬結構。該方法亦包括在第一晶圓的頂表面中形成第一組凹陷部分。該方法另外包括在第一組凹陷部分內沉積第一組金屬元件。該方法亦包括第二晶圓,第二晶圓包括在第二晶圓主體內的第二金屬結構。該方法另外包括在第二晶圓的頂表面中形成第二組凹陷部分。該方法進一步包括在第二組凹陷部分內沉積第二組金屬元件。該方法進一步包括將第一晶圓的頂表面接合至第二晶圓的頂表面,其中第一組金屬元件的第一金屬元件接合至第二組金屬元件的第二金屬元件。
如上文更詳細描述的,本文所述的一些實施提供半導體結構。該半導體結構包括第一晶圓,其包含第一晶圓主體內的第一金屬結構以及在第一金屬結構與第一晶圓的一表面之間延伸的第一組金屬元件。該半導體結構亦包括第二晶圓,其包括在第二晶圓主體內的第二金屬結構以及在第二金屬結構與第二晶圓的一表面之間延伸的第二組金屬元件。第一晶圓在界面處耦接至第二晶圓,且第一組金屬元件與第二組金屬元件形成金屬接合結構,金屬接合結構自第一金屬結構穿過界面延伸至第二金屬結構。
前述內容概述若干實施例的特徵,使得熟習此項技術者可更佳地理解本揭露的態樣。熟習此項技術者應瞭解,其可易於使用本揭露作為用於設計或修改用於實施本文中引入之實施例之相同目的及/或達成相同優勢之其他製程及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不偏離本揭露的精神及範疇,且此類等效構造可在本文中進行各種改變、取代、及替代而不偏離本揭露的精神及範疇。
100:環境 102:沉積工具 104:蝕刻工具 106:平坦化工具 108:接合工具 110:晶圓/晶粒傳輸工具 200:電子裝置/半導體結構 202:第一晶圓 204:主體 206:金屬結構 208:半導體結構 210:第二晶圓 212:主體 214:金屬結構 216:半導體結構 218:界面 220:金屬接合結構 300:實例實施 302:凹陷部分 304:金屬元件 400:實例實施 402:凹陷部分 404:金屬元件 500:實例實施 502:熱量 504:金屬元件 602:混合接合區域 604:焊料凸塊 700:裝置 710:匯流排 720:處理器 730:記憶體 740:儲存組件 750:輸入組件 760:輸出組件 770:通訊組件 800:製程 810~870:方塊
本揭露的態樣在與隨附圖式一起研讀時自以下詳細描述內容來最佳地理解。應注意,根據行業中的標準規範,各種特徵未按比例繪製。實際上,各種特徵的尺寸可為了論述清楚經任意地增大或減小。 第1圖係實例環境的示意圖,其中可實施本文所述的系統及/或方法。 第2圖係本文所述實例半導體結構的示意圖。 第3A圖至第3C圖係本文所述實例實施的示意圖。 第4A圖至第4C圖係本文所述實例實施的示意圖。 第5A圖至第5C圖係本文所述實例實施的示意圖。 第6A圖至第6I圖係本文所述實例半導體結構的示意圖。 第7圖係第1圖中一或多個裝置的實例組件的示意圖。 第8圖係與形成金屬接合結構有關的實例製程的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
800:製程
810~870:方塊

Claims (20)

  1. 一種半導體結構,包含: 一第一晶圓(202),包括該第一晶圓(202)的一主體(204)內的一第一金屬結構(206); 一第二晶圓(210),包括該第二晶圓(210)的一主體(212)內的一第二金屬結構(214), 其中該第一晶圓(202)在一界面(218)處耦接至該第二晶圓(210);及 一金屬接合結構(220),耦接至該第一金屬結構(206)及該第二金屬結構(214)且延伸穿過該界面(218)。
  2. 如請求項1所述的半導體結構,其中該金屬接合結構(220)包含自該第一金屬結構(206)延伸至該第二金屬結構(214)的一或多個金屬元件(504)。
  3. 如請求項1所述的半導體結構,其中該金屬接合結構(220)包含: 自該第一金屬結構(206)延伸至該界面(218)的一第一組金屬元件(404),及 自該第二金屬結構(214)延伸至該界面(218)的一第二組金屬元件(304), 其中該第一組金屬元件(404)中之一或多者接合至該第二組金屬元件(304)中之一或多者。
  4. 如請求項3所述的半導體結構,其中該第一組金屬元件(404)之一第一金屬元件(404)接合至該第二組金屬元件(304)之一第二金屬元件(304),且 其中該第一金屬元件(404)具有一第一寬度,且 其中該第二金屬元件(304)具有大於該第一寬度的一第二寬度。
  5. 如請求項4所述的半導體結構,其中該第一組金屬元件(404)之一第三金屬元件(404)接合至該第二組金屬元件(304)之一第四金屬元件(304),且 其中該第三金屬元件(404)具有一第三寬度,且 其中該第四金屬元件(304)具有小於該第三寬度的一第四寬度。
  6. 如請求項3所述的半導體結構,其中該第一組金屬元件(404)或該第二組金屬元件(304)中之一或多者包括具有一傾斜側表面的至少一個金屬元件。
  7. 如請求項6所述的半導體結構,其中具有一傾斜側表面的該至少一個金屬元件包含: 在耦接至該第一金屬結構(206)或該第二金屬結構(214)的一第一末端處的一第一寬度,及 設置於該界面(218)處的一第二末端處的一第二寬度, 其中該第一寬度大於該第二寬度。
  8. 如請求項3所述的半導體結構,其中該第一組金屬元件(404)之一第一金屬元件(404)接合至該第二組金屬元件(304)之一第二金屬元件(304),且 其中該第一金屬元件(404)沿著自該第一金屬結構(206)至該界面(218)的一第一邏輯軸延伸,且以該第一邏輯軸為中心, 其中該第二金屬元件(304)沿著自該第二金屬結構(214)至該界面(218)的一第二邏輯軸延伸,且以該第二邏輯軸為中心,且 其中該第一邏輯軸自該第二邏輯軸橫向移位。
  9. 如請求項3所述的半導體結構,其中該第一組金屬元件(404)包括未接合至該第二組金屬元件(304)中之任意金屬元件的至少一個金屬元件(404)。
  10. 如請求項1所述的半導體結構,其中該金屬接合結構(220)包含一銅基材料。
  11. 如請求項1所述的半導體結構,其中該第一晶圓(202)透過一混合接合區域(602)在該界面處耦接至該第二晶圓(210)。
  12. 如請求項1所述的半導體結構,其中該第一晶圓(202)或該第二晶圓(210)中之一或多者包括一系統單晶片或一特定功能積體電路。
  13. 一種半導體結構的製造方法,其包含以下步驟: 形成一第一晶圓(202),其包括設置於該第一晶圓(202)的一主體(204)內的一第一金屬結構(206); 在該第一晶圓(202)的一頂表面中形成一第一組凹陷部分(402); 在該第一組凹陷部分(402)內沉積一第一組金屬元件(404); 形成一第二晶圓(210) ,其包括設置於在該第二晶圓(210)的一主體(212)內的一第二金屬結構(214); 在該第二晶圓(210)的一頂表面中形成一第二組凹陷部分(302); 在該第二組凹陷部分(302)內沉積一第二組金屬元件(304);及 將該第一晶圓(202)的該頂表面接合至該第二晶圓(210)的該頂表面, 其中該第一組金屬元件(404)之一第一金屬元件(404)接合至該第二組金屬元件(304)之一第二金屬元件(304)。
  14. 如請求項13所述的方法,進一步包含以下步驟中之一或多者: 在該第一組凹陷部分(402)內沉積該第一組金屬元件(404)之後研磨該第一晶圓(202)的該頂表面;或 在該第二組凹陷部分(302)內沉積該第二組金屬元件(304)之後研磨該第二晶圓(210)的該頂表面。
  15. 如請求項13所述的方法,進一步包含以下步驟: 在將該第一晶圓(202)的該頂表面接合至該第二晶圓(210)的該頂表面之前,在該第一組金屬元件(404)或該第二組金屬元件(304)中之一或多個元件上沉積一焊料凸塊。
  16. 如請求項13所述的方法,其中該第一晶圓(202)的該頂表面及該第二晶圓(210)的該頂表面包含一熱接合材料。
  17. 如請求項13所述的方法,其中將該第一晶圓(202)的該頂表面接合至該第二晶圓(210)的該頂表面之步驟包含以下步驟: 施加熱量至該第一晶圓(202)的該頂表面及該第二晶圓(210)的該頂表面,以將該第一晶圓(202)的該頂表面接合至該第二晶圓(210)的該頂表面。
  18. 一種半導體結構,其包含: 一第一晶圓(202),包含: 該第一晶圓(202)的一主體(204)內的一第一金屬結構(206);及 在該第一金屬結構(206)與該第一晶圓(202)的一表面之間延伸的一第一組金屬元件(404);及 一第二晶圓(210),包含: 該第二晶圓(210)的一主體(212)內的一第二金屬結構(214);及 在該第二金屬結構(214)與該第二晶圓(202)的一表面之間延伸的一第二組金屬元件(304), 其中該第一晶圓(202)在一界面(218)處耦接至該第二晶圓(210),且 其中該第一組金屬元件(404)與該第二組金屬元件(304)形成一金屬接合結構(220),該金屬接合結構(220)自該第一金屬結構(206)穿過該界面(218)延伸至該第二金屬結構(214)。
  19. 如請求項18所述的半導體結構,其中該界面(218)包含一混合接合區域(602)。
  20. 如請求項18所述的半導體結構,其中該第一組金屬元件(404)包括自該第一金屬結構(206)延伸的一第一金屬元件(404), 其中該第二組金屬元件(304)包括自該第二金屬結構延伸的一第二金屬元件(304),及 其中該第一金屬元件(404)透過設置於該界面(218)處的一焊料凸塊(604)接合至該第二金屬元件(304)。
TW111107028A 2021-03-26 2022-02-25 半導體結構 TW202303863A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163166726P 2021-03-26 2021-03-26
US63/166,726 2021-03-26
US17/450,104 US12113042B2 (en) 2021-03-26 2021-10-06 Metal bonding structure and manufacturing method thereof
US17/450,104 2021-10-06

Publications (1)

Publication Number Publication Date
TW202303863A true TW202303863A (zh) 2023-01-16

Family

ID=82424080

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111107028A TW202303863A (zh) 2021-03-26 2022-02-25 半導體結構

Country Status (3)

Country Link
US (1) US12113042B2 (zh)
CN (1) CN114783979A (zh)
TW (1) TW202303863A (zh)

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6870270B2 (en) * 2002-12-28 2005-03-22 Intel Corporation Method and structure for interfacing electronic devices
FR2964112B1 (fr) * 2010-08-31 2013-07-19 Commissariat Energie Atomique Traitement avant collage d'une surface mixte cu-oxyde, par un plasma contenant de l'azote et de l'hydrogene
US9087821B2 (en) * 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US8860229B1 (en) * 2013-07-16 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9257399B2 (en) * 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9425155B2 (en) * 2014-02-25 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding process and structure
US10050018B2 (en) * 2016-02-26 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC structure and methods of forming
US11424205B2 (en) * 2018-06-29 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnect structure and method
US10867879B2 (en) * 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
KR102626314B1 (ko) * 2019-01-28 2024-01-17 삼성전자주식회사 접합 패드를 갖는 반도체 소자
CN109891582B (zh) * 2019-01-30 2020-06-26 长江存储科技有限责任公司 使用混合键合的结构和器件及其形成方法
US11114406B2 (en) * 2019-01-31 2021-09-07 Sandisk Technologies Llc Warpage-compensated bonded structure including a support chip and a three-dimensional memory chip
US11393780B2 (en) * 2019-07-26 2022-07-19 Sandisk Technologies Llc Bonded assembly containing oxidation barriers, hybrid bonding, or air gap, and methods of forming the same
US11444039B2 (en) * 2020-05-29 2022-09-13 Sandisk Technologies Llc Semiconductor die including diffusion barrier layers embedding bonding pads and methods of forming the same
US11538778B2 (en) * 2020-12-18 2022-12-27 Advanced Semiconductor Engineering, Inc. Semiconductor package including alignment material and method for manufacturing semiconductor package

Also Published As

Publication number Publication date
US12113042B2 (en) 2024-10-08
US20220310550A1 (en) 2022-09-29
CN114783979A (zh) 2022-07-22

Similar Documents

Publication Publication Date Title
US10607937B2 (en) Increased contact alignment tolerance for direct bonding
US20220199560A1 (en) Bonded structures without intervening adhesive
TWI677075B (zh) 垂直堆疊晶圓及其形成方法
TWI624006B (zh) 用於成品率改善的使用銅合金的混合鍵
US9536848B2 (en) Bond pad structure for low temperature flip chip bonding
US9437568B2 (en) Method for manufacturing semiconductor device having a multilayer interconnection
US9355961B2 (en) Semiconductor devices having through-electrodes and methods for fabricating the same
TW201743430A (zh) 三維積體電路結構及其形成方法
CN107993928B (zh) 一种抑制晶圆混合键合中铜电迁移的方法
US20230326815A1 (en) Daisy-chain seal ring structure
TW201729362A (zh) 半導體裝置及其製造方法
US20200235063A1 (en) Three-dimensional integrated circuit and method of manufacturing the same
CN109686657B (zh) 晶圆间键合结构的形成方法、晶圆的键合方法
TW202240796A (zh) 半導體裝置
TW202236515A (zh) 半導體裝置及其製造方法
TW202303863A (zh) 半導體結構
TW202125743A (zh) 半導體元件及其製造方法
Skordas et al. Three-dimensional integration stacking technologies for high-volume manufacturing by use of wafer-level oxide-bonding integration
US9640514B1 (en) Wafer bonding using boron and nitrogen based bonding stack
TWI830119B (zh) 半導體裝置及其形成方法
CN114725063A (zh) 半导体结构及其制造方法
TW202410298A (zh) 具有金屬平面層的基板穿孔以及製造其之方法