TW202247262A - 具可調介電常數之低溫氮化矽/氮氧化矽堆疊膜 - Google Patents

具可調介電常數之低溫氮化矽/氮氧化矽堆疊膜 Download PDF

Info

Publication number
TW202247262A
TW202247262A TW111110123A TW111110123A TW202247262A TW 202247262 A TW202247262 A TW 202247262A TW 111110123 A TW111110123 A TW 111110123A TW 111110123 A TW111110123 A TW 111110123A TW 202247262 A TW202247262 A TW 202247262A
Authority
TW
Taiwan
Prior art keywords
silicon
plasma
encapsulation layer
substrate
precursors
Prior art date
Application number
TW111110123A
Other languages
English (en)
Inventor
馬里貝 瑪登納朵賈奇亞
共 鄭
米海拉A 巴賽諾
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202247262A publication Critical patent/TW202247262A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • H10B63/24Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes of the Ovonic threshold switching type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明討論在不損壞下置層的情況下形成堆疊的方法。封裝層及介電層為高度共形的,具有低蝕刻率及良好的密封度。此等膜用於保護PCRAM元件中的硫屬化物材料或對氧或水分敏感的任何基板。一些實施例使用兩步驟製程,其包含形成封裝層的第一ALD製程及形成介電層的氧化製程。

Description

具可調介電常數之低溫氮化矽/氮氧化矽堆疊膜
本揭示案的實施例大體而言係關於半導體製造,包括形成多層封裝堆疊的製程。更具體而言,本揭示案的某些實施例係關於形成對氧氣、氧電漿或含氧化合物(例如水)敏感的基板的封裝堆疊的方法。
相變隨機存取記憶體(phase change random-access memory; PCRAM)是一類新興的非揮發性記憶體,其應用領域越來越多且市場發展快。PCRAM依賴於由硫屬化物材料組成的相變層。硫屬化物材料對空氣及水分敏感。氮化矽(SiN)薄膜可用作保護硫屬化物材料的封裝層。
用於沉積SiN膜的許多常規方法有缺點。諸如化學氣相沉積(chemical vapor deposition; CVD)的一些方法依賴於更高的溫度,更高的溫度可損壞元件。諸如電漿增強化學氣相沉積(plasma enhanced chemical  vapor deposition;PECVD)的一些方法能在更低的溫度下沉積,但需要後續的後處理以提高膜品質。
PECVD製程亦受到不良階梯覆蓋的限制,且膜品質可大大取決於後處理效率。隨著元件密度持續增大,此等製程不可擴展至具有更大深寬比的下一代元件。
PCRAM堆疊含有亦對其他處理條件敏感的材料。舉例而言,一些PCRAM堆疊將氮化矽(SiN)堆疊用作保護層,其具有作為襯墊的介電常數低的介電層(K < 7),例如氮氧化矽(SiON)、氧化矽(SiO x)或碳氮氧化矽(SiCON)。可在不損壞下置材料的情況下執行形成SiN/低K層堆疊的理想製程,將提供對氧氣、水及水分的合適的保護,且具有可調的介電常數。許多其他半導體製造製程需要在對氧氣、氧電漿或諸如水的含氧化合物敏感的基板上處理類似的SiN/低k介電層。
因此,本技術需要在高深寬比特徵上形成共形介電層的方法,其限制對下置層的損壞並且滿足製造要求。
本揭示案的一或多個實施例係關於形成堆疊的方法。在一或多個實施例中,方法包含:在基板上形成封裝層,基板具有至少一個特徵,密封封裝層包含氮化矽(SiN)或碳氮化矽(SiCN)中之一或多者;以及氧化封裝層以形成介電常數在4.0至小於7.0的範圍中的介電層。藉由該方法,基板實質上不受損壞。
揭示案的其他實施例係關於形成堆疊的方法。在一或多個實施例中,方法包含:將基板順序曝露於矽前驅物及氮前驅物並視情況曝露於電漿,以形成封裝層,該封裝層包含氮化矽及碳氮化矽中之一或多者,且基板具有深寬比大於或等於1:1的至少一個特徵;將封裝層曝露於含氧電漿及氧化劑中的一或多者,以形成包含氮氧化矽(SiON)及碳氮氧化矽(SiCON)中之一或多者的介電層,該介電層具有在4.0至小於7.0的範圍中的介電常數。
在描述本揭示案的若干例示性實施例之前,應理解本揭示案不限於以下描述中闡述的構造或製程步驟的細節。本揭示案可包括其他實施例,並且可按各種方式實踐或執行。
本文中使用的「基板」、「基板表面」或類似者指形成於基板(在該基板上執行處理)上的任何基板或材料表面。舉例而言,在其上執行處理的基板表面視應用而包括但不限於諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator; SOI)、摻碳氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,及諸如金屬、金屬氮化物、金屬合金的其他金屬材料,及其他導電材料。基板包括而不限於半導體晶圓。可將基板曝露於預處理製程,以拋光、蝕刻、還原、氧化、羥化(或以其他方式產生或接枝目標化學部分以賦予化學功能性)、退火及/或烘烤基板表面。除直接在基板自身表面上直接處理以外,在本揭示案中,所揭示的薄膜處理步驟中的任一者亦可在形成於如下文更詳細揭示之基板上的底層上執行,並且術語「基板表面」意欲包括如上下文所指明的此底層。由此舉例而言,在薄膜/層或部分薄膜/層已沉積於基板表面上的情況下,新沉積薄膜/層的曝露表面變為基板表面。給定基板表面所包含的將取決於待沉積的材料以及使用的特定化學物質。
本文使用的「原子層沉積」或「循環沉積」係指順序地曝露兩個或更多個反應化合物以在基板表面上沉積材料層。如在本說明書及所附發明申請專利範圍中所使用,術語「反應化合物」、「反應氣體」、「反應物種」、「前驅物」、「製程氣體」及類似者可互換使用而意謂具有能夠在表面反應(例如化學吸附、氧化、還原)中與基板表面或基板表面上的材料反應的物種的物質。將基板或基板的一部分單獨曝露於引入至處理腔室的反應區中的兩種或更多種反應化合物。在時域ALD製程中,對每一反應化合物的曝露間隔一時間延遲,以允許每一化合物在基板表面上附著及/或反應,且隨後自處理腔室沖洗化合物。此等反應化合物應順序地曝露於基板。在空間ALD製程中,將基板表面的不同部分或基板表面上的材料同時曝露於兩種或更多種反應化合物,使得基板上的任何給定點實質上不同時曝露於多於一種反應化合物。如本說明書及所附請求項所使用,熟習此項技術者將理解,在此方面使用的術語「實質上」意謂基板的一小部分可能因擴散而同時曝露於多種反應氣體,並且同時曝露為無意的。
在時域ALD製程的一個態樣中,使第一反應氣體(亦即第一前驅物或化合物A)脈衝輸送於反應區中,隨後有第一時間延遲。隨後,使第二前驅物或化合物B脈衝輸送於反應區中,隨後有第二延遲。在每一時間延遲中,將諸如氬氣的沖洗氣體引入至處理腔室中,以沖洗反應區或以其他方式自反應區移除任何剩餘的反應化合物或反應副產物。或者,沖洗氣體可在整個沉積製程中連續流動,使得僅沖洗氣體在反應化合物脈衝之間的時間延遲期間流動。或者脈衝輸送反應化合物,直至在基板表面上形成所要的膜或膜厚度。在任一情境中,脈衝輸送化合物、沖洗氣體或化合物B及沖洗氣體的ALD製程為循環。循環可開始於化合物A或化合物B,且繼續循環的各別的次序,直至實現具有預定厚度的膜。
在空間ALD製程的實施例中,將第一反應氣體及第二反應氣體同時輸送至反應區,但第一反應氣體及第二反應氣體由惰性氣體簾及/或真空簾分隔。基板相對於氣體輸送裝置移動,使得基板上的任何給定點曝露於第一反應氣體及第二反應氣體。
在空間ALD製程的實施例中,將第一反應氣體及第二反應氣體同時輸送至反應區,但第一反應氣體及第二反應氣體由惰性氣體簾及/或真空簾分隔。基板相對於氣體輸送裝置移動,使得基板上的任何給定點曝露於第一反應氣體及第二反應氣體。
如在本說明書及所附發明申請專利範圍中所使用,術語「前驅物」、「反應物」、「反應氣體」及類似者可互換使用而指能夠與基板表面反應的任何氣體物種。
本文使用的「脈衝」或「劑量」意欲指間歇性或不連續引入至製程腔室中的製程氣體的量。每一脈衝中的特定化合物的量可隨時間改變,其取決於脈衝的持續時間。特定的製程氣體可包括單一化合物或兩種或更多種化合物的混合物/組合,例如下文描述的製程氣體。
每一脈衝/劑量的持續時間可變化,且可經調整以適應(例如)處理腔室的容量以及與其耦接的真空系統的能力。另外,製程氣體可根據製程氣體的流速、製程氣體的溫度、控制閥的類型、所使用製程腔室的類型以及製程氣體的成分的能力而變化,以吸附於基板表面上。劑量時間可基於所形成層的類型及所形成元件的幾何形狀而變化。劑量時間應足夠長來提供足以實質上吸附/化學吸附至基板的整個表面上並且在其上形成製程氣體成分的層的化合物體積。
藉由圖式描述揭示案的實施例,其圖示用於根據揭示案的一或多個實施例形成元件的元件及製程。所示的製程僅為所揭示製程的說明性的可能用途,熟習此項技術者將瞭解所揭示的製程不限於所說明的應用。
參考第1圖,本揭示案的一或多個實施例針對在具有至少一個特徵的基板上形成堆疊的方法。在此方面使用時,術語「特徵」意謂任何有意的表面不規則性。特徵的合適實例包括但不限於具有一頂部、兩個側壁及一底部的溝槽,及具有一頂部及兩個側壁的尖端。特徵可具有任何合適的深寬比(特徵之深度與特徵之寬度的比)。
在一或多個實施例中,可藉由本技術中已知的各種圖案化及蝕刻製程形成基板的至少一個特徵。第1圖圖示在基板特徵的表面上形成封裝層的例示性方法10。第2圖圖示包含基板52的例示性元件50,至少一個特徵54a、54b形成於基板52上或其中。如本揭示案所使用,術語「特徵」及元件符號54用作正向特徵及負向特徵的通用術語。第2圖所示的元件50包含:自基板52延伸的特徵54a,亦稱為正向特徵;及凹陷入基板52中的特徵54b,亦稱為負向特徵。
雖然第2圖所示的基板及特徵由不同的材料組成,但不應自第2圖推斷材料組成。
在一或多個實施例中,特徵54具有曝露表面55。特徵54a、54b的表面55可包含至少一個側壁56及頂部58a或底部58b。熟習此項技術者將瞭解在實踐中,如第2圖所示,元件50可包含相互靠近安置的多個正向特徵54a。因此,兩個正向特徵54a之間的空間(亦稱為間隙或溝槽)可形成負向特徵54b。
在一些實施例中,特徵54a具有高度H及寬度W。一些實施例的特徵54a為直角稜柱形的物體,其具有由較短端壁連接的細長側壁。在一些實施例中,正向特徵54a為圓柱形堆疊,且負向特徵54b為具有至少一個圓形側壁及一頂部或底部的通孔。在一些實施例中,特徵54具有大於或等於約1:1、約5:1、約10:1、約15:1、約20:1、約25:1、約30:1、約35:1或40:1的深寬比。在此方面使用時,將特徵的深寬比定義為高度H除以寬度W。
第3A圖及第3B圖圖示根據一或多個實施例的具有基板52的例示性元件60,該基板52具有單一正向特性54a。如第3A圖所圖示,在一些實施例中,特徵54a包含不同的材料64、66。雖然第3A圖展示兩種材料64、66,但特徵亦可包含更多材料或更少材料。在一些實施例中,第一材料64可與基板52的材料相同或不同,且第一材料64及第二材料66形成特徵54a。在一些實施例中,在第一材料64及第二材料66上方共形地沉積第三材料68。在一些實施例中,在第三材料68上方共形地沉積第四材料70。第3圖所示的材料層的佈置應為例示性的且不具限制性。
在一些實施例中,第一及第二材料64、66對空氣或水分敏感。在一些實施例中,第一及第二材料64、66對氧氣敏感。在一些實施例中,第一及第二材料64、66對水敏感。在此方面使用時,如果曝露於環境或物種改變材料的性質,則材料對環境或環境中的物種「敏感」。由於物理變化(例如結晶度、揮發性)或化學變化(例如氧化態、汙染、材料損失、材料成分的變化),可更改所更改材料的性質。
在一些實施例中,第一材料64、第二材料66、第三材料68及第四材料70獨立地包含矽、氧化矽、硫屬化物材料、碳或金屬中之一或多者。在此方面使用時,「硫屬化物材料」為包含硫屬化物的任何材料。例示性硫屬化物包括但不限於硫、硒、碲及釙。在一些實施例中,硫屬化物材料包含硫屬化物及元素週期表的14族或15族的元素。在一些實施例中,第三材料70包含AsS、GeS、GeSbTe或GeSiAsTe中之一或多者。
在一或多個實施例中,第一材料64、第二材料66、第三材料68及第四材料70獨立地包含硒(Se)、碲(Te)、硫(S)、銻(Sb)、砷(As)、銦(In)、鍺(Ge)、鎢(W)、碳(C)、矽(Si)及氮(N)中之一或多者。
由於第四材料70可能對空氣及水分敏感,因此在一些實施例中,在第四材料70上方形成封裝層72。在一些實施例中,封裝層72至少保護第四材料70。在一些實施例中,封裝層72在第四材料70上方為連續的。在一些實施例中,不管表面的成分如何,封裝層72在特徵54a的表面上方為連續的。在一或多個實施例中,封裝層72在第四材料70的表面上方為共形的。
在一些實施例中,封裝層72為密封的。在此方面使用時,「密封」層對藉由曝露於空氣或水發生的氧化具有抵抗性。在一些實施例中,封裝層72包含氮化矽(SiN)或碳氮化矽(SiCN)中之一或多者。
可將封裝層72曝露於氧化測試條件以測試封裝層72的密封度。氧化測試條件可包括堆疊表面上氧化矽的電漿增強原子層沉積(60Å,使用BDEAS及50W O 2/Ar電漿)、曝露於低功率(例如50W)的O 2/Ar電漿或在高溫下(例如400℃)曝露於蒸汽一段較長時間(例如2小時)。不管測試方法如何,膜中氧原子的深度提供對膜的密封度的指示,(亦即較淺的氧化深度指示較佳或較高的密封度)。在一些實施例中,封裝層72在氧化測試條件下表現出小於或等於約5Å的氧化,在氧化測試條件下表現出小於或等於約4Å的氧化,在氧化測試條件下表現出小於或等於約3Å的氧化,或在氧化測試條件下表現出小於或等於約2Å的氧化。
在一或多個實施例中,封裝層70具有大於7的介電常數。不受理論限制,需要具有小於7的介電常數的堆疊。
因此,參考第3B圖,在一或多個實施例中,封裝層72經氧化以形成具有小於7.0的介電常數的介電層74。在一些實施例中,介電層74具有在4.0至小於7.0的範圍中的介電常數。
在一或多個實施例中,封裝層74包含氮氧化矽(SiON)或碳氮氧化矽(SiCON)中之一或多者。
參考第1圖,方法10大體而言開始於操作12,提供基板52。以此方式使用,「提供」意謂將基板52放到位置或放置於合適的環境以進行處理。基板52具有至少一個特徵54,其具有表面55。
在操作14中,封裝層72形成於特徵54a上。在一些實施例中,封裝層72為共形的。在一些實施例中,封裝層包含氮化矽(SiN)或碳氮化矽(SiCN)中之一或多者。在一些實施例中,封裝層72包含氮化矽(SiN)。在一些實施例中,封裝層72包含碳氮化矽。藉由將基板順序地曝露於第一反應物及第二反應物而形成封裝層72。在一些實施例中,在諸如原子層沉積(atomic layer deposition; ALD)或類似者的循環沉積製程中重複曝露。
形成封裝層72的製程可開始於將基板曝露於包含第一反應物的第一反應氣體。如操作16所示,將第一反應氣體曝露於基板第一段時間。在操作16,第一反應物吸附於表面55。
第一反應物可為用於形成封裝層72的任何合適的反應物。在一些實施例中,第一反應物包含矽前驅物。合適的矽前驅物包括但不限於:SiX 4,其中X為類鹵素基團;三矽基胺(例如N(SiH 3) 2);或SiR aX b,其中R為有機基團或H,a+b = 4。類鹵素基團包括但不限於-Cl、-Br、-I、-CN、-CP、-OCN、-NCO、-SCN、-SeCN及-N 3。不受理論限制,矽前驅物的Si-X鍵為反應性的,使得可用來自氮前驅物的氮替換X。在一些實施例中,第一反應物不包含任何鹵素原子。在一些實施例中,第一反應物包含或實質上由SiX 4或SiH aX b組成,a+b = 4,其中X為鹵素(X= Cl、Br、I)。在一些實施例中,第一反應物包含SiCl 4、SiBr 4、SiI 4、SiH 2Cl 2或SiH 2I 2中之一或多者。
在一或多個實施例中,第一反應物包含矽前驅物。矽前驅物選自二碘矽烷(diiodosilane; DIS)、二氯矽烷(dichlorosilane; DCS)、四溴化矽、四碘化矽、含碘前驅物、無鹵素矽前驅物、假鹵素矽前驅物、含硫矽前驅物、胺基矽烷前驅物、矽烷前驅物及其衍生物中之一或多者。
在操作18,可使用惰性氣體沖洗製程腔室(尤其在時域ALD中)。惰性氣體可為任何惰性氣體,例如氬(Ar)、氦(He)、氖(Ne)、氮(N 2)或類似者。在一些實施例中,惰性氣體可與在操作16中將基板曝露於第一反應物期間提供至製程腔室的惰性氣體相同,或替代而言,與其不同。在惰性氣體相同的實施例中,可進行沖洗:自製程腔室轉移第一反應氣體,允許惰性氣體流過製程腔室、沖洗製程腔室中任何過量的第一製程氣體成分或反應副產物。在一些實施例中,可按與上文所述第一反應氣體一起使用的相同流速提供惰性氣體,或在一些實施例中,可提高或降低流速。舉例而言,在一些實施例中,可按約0至約60000 sccm的流速將惰性氣體提供至製程腔室,以沖洗製程腔室。
在空間ALD中,在反應氣體的流動之間維持沖洗氣體簾,且不一定需要沖洗製程腔室。在空間ALD製程的一些實施例中,可用惰性氣體沖洗製程腔室或製程腔室的區域。
惰性氣體的流動可促進自製程腔室移除任何過量的第一製程氣體成分及/或過量的反應副產物,以防止第一及第二製程氣體的不良氣相反應。舉例而言,惰性氣體的流動可自製程腔室移除過量的矽前驅物,防止矽前驅物與後續反應氣體之間的氣相反應。
在操作14形成封裝層72的製程繼續,將基板曝露於包含第二反應物的第二反應氣體。如操作20所示,將第二反應氣體曝露於基板第二段時間。第二反應物與吸附於基板表面上的第一反應物反應以形成封裝層72。
在一些實施例中,第二反應物包含氮前驅物。在一些實施例中,氮前驅物包含或實質上由氮氣(N 2)、氨(NH 3)、聯胺(N 2H 4)、胺、NR xH y(其中R含有碳)、H 2N-R-NH 2(其中R為含有碳的有機取代基)及其衍生物(例如烷基胺、烷基聯胺)中之一或多者組成。
在一或多個實施例中,封裝層72包含氮化矽(SiN)或碳氮化矽(SiCN)中之一或多者。在一些實施例中,封裝層72包含氮化矽(SiN)。在一些實施例中,封裝層72包含碳氮化矽(SiCN)。
在一些實施例中,形成封裝層72的製程實質上不包含電漿。換言之,在一些實施例中,藉由熱ALD製程形成封裝層。
在其他實施例中,形成封裝層72的製程包含將基板曝露於電漿。在一些實施例中,電漿為第二反應物的電漿。在此等實施例中,在操作20,將基板曝露於包含電漿的第二製程氣體。
在操作22中,在曝露於氮前驅物之後視情況沖洗處理腔室。在操作22中沖洗處理腔室可與操作18中的沖洗為同一製程或不同製程。沖洗處理腔室、處理腔室的部分、鄰接基板表面的區域等可自鄰接基板表面的區域中移除未反應的前驅物、反應產物及副產物。
在一些實施例中,在操作14形成封裝層的製程包括操作24的單獨電漿曝露。在一些實施例中,藉由在操作22及操作26用惰性氣體沖洗,使操作24的電漿曝露與其他製程分離。舉例而言,在一些實施例中,第二製程氣體包含氨或胺,且電漿包含自含有氮的氣體混合物產生的電漿。在一些實施例中,第二製程氣體包含產生自氨氣的電漿。在其他實施例中,電漿包含氮(N 2)、氫(H 2)、氨(NH 3)、氦(H 2)及氬(Ar)中之一或多者。
在一些實施例中,自包含氮氣、氬氣、氦、氨或上文所示的含氮第二反應物中之一者的一或多者的電漿氣體產生電漿。在一些實施例中,自氬氣及氮氣的混合物產生電漿。可調整氬氣與氮氣的比率,以影響稍後保護層的沉積速率及所形成封裝層的性質。在一些實施例中,氬與氮的比率在約1:100至約100:1的範圍中。在一些實施例中,氬與氮的比率大於或等於約1:1,大於或等於約2:1,大於或等於約4:1,或大於或等於約9:1。
在一或多個實施例中,可遠程或在處理腔室內產生電漿。電漿可為微波電漿、電感耦合電漿(inductively coupled plasma; ICP)或導電耦合電漿(conductively coupled plasma; CCP)。可取決於(例如)反應物或其他製程條件而使用任何合適的功率。在一些實施例中,可用約10 W至約400 W的範圍中的電漿功率產生電漿。在一些實施例中,電漿為用約10 W至約2000 W的範圍中的電漿功率產生的微波電漿。在一些實施例中,電漿為用小於或等於約200 W、小於或等於約150 W、小於或等於約100 W、小於或等於約50 W或小於或等於約35 W的電漿功率產生的電漿。
在一些實施例中,當在操作14形成封裝層72時,維持基板的溫度。在一些實施例中,將基板維持於約200℃至約500℃、約200℃至約300℃、約200℃至約280℃、約200℃至約250℃或約100℃至約200℃的範圍中的溫度。在一些實施例中,將基板維持於小於或等於400℃、小於或等於300℃、小於或等於280℃、小於或等於250℃的溫度。在一些實施例中,將基板維持於約250℃的溫度。
在操作26中,在曝露於電漿之後視情況沖洗處理腔室。在操作26中沖洗處理腔室可與操作18中的沖洗或沖洗操作22為同一製程或不同製程。沖洗處理腔室、處理腔室的部分、鄰接基板表面的區域等可自鄰接基板表面的區域中移除未反應的前驅物、反應產物及副產物。
用於沉積封裝層72的各個製程參數可變化。在一些實施例中,將基板曝露於第一反應物第一段時間,且將基板曝露於第二反應物不同的第二段時間,且視情況將基板曝露於電漿不同的第三段時間。
取決於(例如)所選的反應物及其他製程條件(例如溫度),將基板表面曝露於製程氣體及/或電漿中之每一者的壓力可變化。 在一些實施例中,在約3 Torr至約100 Torr的範圍中的壓力下發生曝露於前驅物中之每一者。在一或多個實施例中,在約3 Torr至約100 Torr的範圍中或約10 Torr至約80 Torr的範圍中或約15 Torr至約50 Torr的範圍中的壓力下發生曝露於前驅物中之每一者。在一些實施例中,在大於或等於約3 Torr、大於或等於約10 Torr、大於或等於約15 Torr或大於或等於約20 Torr的壓力下發生曝露於前驅物中之每一者。
如前文所述,在一些實施例中,封裝層72與基板表面實質上共形。在此方面使用時,術語「共形」意謂層的厚度在基板表面上為均勻的。在本說明書及所附請求項中使用時,術語「實質上共形」意謂層厚度相對於層的平均厚度的變化不超過約40%、30%、20%、10%、5%、2%、1%或0.5%。換言之,實質上共形的層具有大於約60%、70%、80%、90%、95%、98%、99%或99.5%的共形性。
在操作28中,封裝層72經氧化以形成介電層74。在一或多個實施例中,介電層74具有小於7(包括小於6、小於5、小於4及小於3)的介電常數。在一些實施例中,介電常數具有在4.0至小於7的範圍中的介電常數。在一些實施例中,介電層74為共形的。在一些實施例中,介電層74包含氮氧化矽(SiON)或碳氮氧化矽(SiCON)中之一或多者。在一些實施例中,介電層74包含氮氧化矽(SiO xN y)。在一些實施例中,介電層74包含碳氮氧化矽(SiC xO yN z)。
在一或多個實施例中,藉由將封裝層72順序地曝露於含氧電漿及氧化劑中之一或多者而形成介電層74。
在一或多個實施例中,含氧電漿選自氧(O 2)電漿、氧/氬(O 2/Ar)電漿、氧/氦(O 2/He)電漿、二氧化碳(CO 2)電漿、一氧化碳(CO)電漿及氧化亞氮(N 2O)電漿中之一或多者。在一些實施例中,氧化劑選自氧(O 2)、水(H 2O)、過氧化氫(H 2O 2)、臭氧(O 3)及氧化亞氮(N 2O)中之一或多者。
在一些實施例中,自包含氧(O 2)電漿、氬(Ar)電漿、氦(He)電漿、二氧化碳(CO 2)電漿、一氧化碳(CO)電漿及氧化亞氮(N 2O)電漿中之一或多者之一或多者的電漿氣體產生含氧電漿。在一些實施例中,自氬與選自氧(O 2)氣、二氧化碳(CO 2)氣體、一氧化碳(CO)氣體及氧化亞氮(N 2O)氣體中之一或多者的含氧氣體的混合物產生電漿。可調整氬氣與含氧氣體的比率,以影響沉積速率及所形成介電層的性質。在一些實施例中,氬與含氧氣體的比率在約1:100至約100:1的範圍中。在一些實施例中,氬與含氧氣體的比率大於或等於約1:1,大於或等於約2:1,大於或等於約4:1,或大於或等於約9:1。
在一或多個實施例中,可在遠端或在處理腔室內產生含氧電漿。含氧電漿可為微波電漿、電感耦合電漿(ICP)或導電耦合電漿(CCP)。可取決於(例如)反應物或其他製程條件而使用任何合適的功率。在一些實施例中,可用約10 W至約400 W的範圍中的電漿功率產生含氧電漿。在一些實施例中,含氧電漿為用約10 W至約2000 W的範圍中的電漿功率產生的微波電漿。在一些實施例中,含氧電漿為用小於或等於約200 W、小於或等於約150 W、小於或等於約100 W、小於或等於約50 W或小於或等於約35 W的電漿功率產生的電漿。在一或多個實施例中,曝露於電漿的時間可在0.1秒至10分鐘變化,或在1秒至5秒,或在12秒至24秒,或在120秒至600秒變化,以控制膜的氧含量。
在一些實施例中,當在操作28氧化封裝層72時,維持基板的溫度。在一些實施例中,將基板維持於約100℃至約500℃、約200℃至約300℃、約200℃至約280℃或約200℃至約250℃的範圍中的溫度。在一些實施例中,將基板維持於小於或等於400℃、小於或等於300℃、小於或等於280℃、小於或等於250℃的溫度。在一些實施例中,將基板維持於約250℃的溫度。
在操作32中,在曝露於含氧電漿之後視情況沖洗處理腔室。在操作32中沖洗處理腔室可與操作18的沖洗或操作22的沖洗或操作26的沖洗為同一製程或不同製程。沖洗處理腔室、處理腔室的部分、鄰接基板表面的區域等可自鄰接基板表面的區域中移除未反應的前驅物、反應產物及副產物。
在一或多個實施例中,在決定點34,決定封裝層72是否已形成至預定的厚度。如果未達到預定的厚度,則方法10返回至操作14,以繼續形成封裝層72,直至達到預定的厚度。一達到預定的厚度,方法10即進行至操作36以進行進一步處理。在一些實施例中,封裝層可沉積至5Å至50Å、10Å至50Å的範圍中的厚度,或在一些實施例中為20Å至30Å範圍中的厚度。在一些實施例中,封裝層72具有5Å、10Å、15Å、20Å、25Å、30Å或35Å的厚度。在一或多個實施例中,封裝層72具有大於或等於10Å的厚度。
不受理論限制,發明者發現可藉由最大化封裝層的生長速率而將對下置基板的損壞減至最小。可按每循環生長(growth per cycle; GRC)評估封裝層72的生長速率,其中每一ALD循環沉積平均厚度。亦可按每分鐘生長(growth per minute; GPM)評估封裝層72的生長速率,其中用總處理時間除以總生長。
在一些實施例中,生長速率大於或等於約0.10 Å/循環,大於或等於約0.25Å/循環,大於或等於約0.3Å/循環,大於或等於約0.4Å/循環,大於或等於約0.5Å/循環,大於或等於約1.0Å/循環,大於或等於約1.5Å/循環。
在一些實施例中,使用空間ALD裝置。在一些實施例中,每一完整旋轉對應於兩個ALD循環。因此,當亦已知每分鐘轉數(RPM)時,亦可用GPM表達生長速率。
在一些實施例中,RPM在約1 RPM至約50 RPM、約1 RPM至約20 RPM、約1 RPM至約10 RPM或約1 RPM至約5 RPM的範圍中。在一些實施例中,RPM為約2 RPM、約3 RPM、約5 RPM、約10 RPM或約20 RPM。在一些實施例中,14及28的每一操作的曝露時間由時間控制,時間可在0.1秒至100分鐘之間變化。
在一些實施例中,生長速率大於或等於約1Å/分,大於或等於約2Å/分,大於或等於約5Å/分,大於或等於約10Å/分,大於或等於約12Å/分,大於或等於約15Å/分,大於或等於約18Å/循環,或大於或等於約20Å/分。在一些實施例中,生長速率約為20Å/分。
如本文其他地方所討論,所揭示的製程亦提供對下置基板材料的最少損壞。在一些實施例中,形成封裝層的製程不損壞基板表面。換言之,所揭示的製程使堆疊為實質上未損壞的。
評估包含含氧電漿的PEALD製程造成的損壞的一種方法為藉由量測下置基板材料的加氧深度。在一些實施例中,當在矽基板上沉積時,加氧的深度小於或等於約12Å,小於或等於約10Å,或小於或等於約8Å。
如第4A圖及第4B圖所示,在一些實施例中,特徵包含基板404上材料406至418的堆疊402。因此,堆疊402的表面包含多個材料表面。此外,第4A圖及第4B圖所示的特徵中材料的成分、數量及佈置不應該限制本揭示案的範疇。
在一些實施例中,堆疊402自下至上包含導體406、底電極408、雙向定限開關(ovonic  threshold  switching; OTS)材料410、中間電極412、鍺銻碲(germanium-antimony-tellurium; GST)414、頂電極416及硬遮罩418。在一些實施例中,導體406包含或實質上由鎢組成。在一些實施例中,底電極408、中間電極412及頂電極416中之至少一者包含或實質上由碳組成。在一些實施例中,OTS材料410包含鍺(Ge)、矽(Si)、砷(As)或碲(Te)中之一或多者。在一些實施例中,GST 414包含鍺(Ge)、銻(Sb)及碲(Te)。在一些實施例中,堆疊402為PSRAM堆疊。
參考第4B圖,在一或多個實施例中,封裝層472共形形成於堆疊402的表面上。可根據本文描述的方法中之任一者形成封裝層472。在一或多個實施例中,封裝層472包含氮化矽(SiN)或碳氮化矽(SiCN)中之一或多者。
在一或多個實施例中,封裝472經氧化以形成介電層474。將封裝層472曝露於含氧電漿及氧化劑中之一或多者以形成介電層474。可根據本文描述的方法中之任一者形成介電層474。在一或多個實施例中,介電層具有小於7的介電常數。在一些實施例中,介電層474具有在4.5至小於7.0的範圍中的介電常數。在一或多個實施例中,介電層474包含氮氧化矽(SiON)及碳氮氧化矽(SiCON)中之一或多者。在一些實施例中,介電層474包含氮氧化矽(SiON)。在其他實施例中,介電層474包含碳氮氧化矽(SiCON)。
本揭示案的一些實施例係關於使用具有多個氣體口的反應腔室的製程,該多個氣體口可用於引入不同化學物質或電漿氣體。在空間上,藉由惰性沖洗氣體及/或真空泵孔分隔此等氣體口(亦稱為通道)以形成氣體簾,其儘量減少或消除來自不同氣體口的氣體的混合,以避免發生不良的氣相反應。移動穿過此等不同的空間上分隔的口的晶圓使得連續及多個表面曝露於不同的化學或電漿環境,從而在空間ALD模式或表面蝕刻製程中發生逐層膜生長。在一些實施例中,處理腔室的氣體分配部件上具有模組化架構,且每一模組化部件有獨立的參數控制(例如RF或氣流)以提供控制(例如)氣流及/或RF曝露的靈活性。
本揭示案的實施例有利地提供在較低溫度下沉積且對下置基板的損壞最小的方法。在此方面使用時,相對於熱CVD及ALD製程中常用的溫度而評估「較低溫度」。
本揭示案的一些實施例提供在較低溫度下進行且不損壞下置基板材料的方法。可用膜性質(蝕刻速率、密度等)的變化、元素組成的變化(氧化、氮化等)及/或厚度的變化(蝕刻、膨脹、收縮等)證明對下置基板的損壞。
一些實施例有利地提供良好的密封度,且有效地保護下置材料不受空氣、水及水分的影響。一些實施例有利地提供具有較高密度及/或較低濕蝕刻速率的封裝堆疊。
揭示案的一些實施例使用時域ALD製程。所述製程可在本技術中普遍已知的處理平臺上進行。
在時域ALD實施例中,藉由時間延遲/暫停分隔對製程氣體中之每一者的曝露,以允許製程氣體的組分在基板表面上吸附及/或反應。替代而言,或組合而言,在一些實施例中,可在將基板曝露於製程氣體之前及/或之後執行沖洗,其中使用惰性氣體執行沖洗。舉例而言,將第一製程氣體提供至製程腔室,隨後用惰性氣體沖洗。然後,將第二製程氣體提供至製程腔室,隨後用惰性氣體沖洗。在一些實施例中,可將惰性氣體連續提供至製程腔室,且可將第一製程氣體定量或脈衝輸入製程腔室,隨後將第二製程氣體定量或脈衝輸入製程腔室。在此等實施例中,第一製程氣體與第二製程氣體劑量之間可有延遲或暫停,允許在製程氣體的劑量之間使惰性氣體持續流動以沖洗製程腔室。
本揭示案的一些實施例使用空間ALD製程,對本文揭示的處理平臺/處理系統執行空間ALD製程。
可在同一腔室中或在一或多個獨立的處理腔室中執行一或多個實施例的方法。在一些實施例中,基板自第一腔室移動至獨立的第二腔室以用於進一步處理。基板可自第一腔室直接移動至獨立的處理腔室,或可自第一腔室移動至一或多個轉移腔室,且然後移動至獨立的處理腔室。因此,處理裝置可包含與轉移站相通的多個腔室。此種類別的裝置可稱為「叢集工具」或「叢集系統」及類似者。
大體而言,叢集工具為包含多個腔室的模組化系統,此等腔室執行包括基板定心及定向、除氣、退火、沉積及/或蝕刻的各種功能。根據一或多個實施例,叢集工具包括至少第一腔室及中心轉移腔室。中心轉移腔室可容納機器人,其可在處理腔室與裝載閘腔室之間及其之中運送基板。轉移腔室通常維持於真空條件下,且提供將基板自一腔室運送至另一腔室及/或安置於叢集工具之前端之裝載閘腔室的中間階段。可適用於本揭示案的若干熟知的叢集工具為Olympia®、Continuum®及Trillium®,此等工具可自加州聖克拉拉的應用材料公司獲得。然而,可出於執行本文中所描述之製程的特定步驟的目的而更改腔室的精確佈置及組合。可使用的其他處理腔室包括但不限於循環層沉積(cyclical layer deposition; CLD)、原子層沉積(atomic layer  deposition; ALD)、化學氣相沉積(chemical vapor deposition; CVD)、物理氣相沉積(physical vapor depositionPVD)、蝕刻、預清洗、化學清洗、諸如RTP的熱處理、電漿氮化、除氣、羥化及其他基板製程。藉由在叢集工具上的腔室中執行製程,可在沉積後續膜之前在無氧化的情況下避免大氣雜質汙染基板的表面。
根據一或多個實施例,基板連續處於真空或「裝載閘」條件下,且在自一腔室移動至下一腔室時不曝露於環境空氣。轉移腔室由此處於真空中,並且「抽氣」到真空壓力下。惰性氣體可存在於處理腔室或轉移腔室中。在一些實施例中,惰性氣體用作移除反應物(例如反應物)中之一些者或全部的沖洗氣體。根據一或多個實施例,在沉積腔室的出口處注入沖洗氣體,以防止反應物(例如反應物)自沉積腔室移動至轉移腔室及/或其他處理腔室。由此,惰性氣體流動在腔室的出口處形成簾。
可在單個基板沉積腔室中處理基板,其中在處理另一基板之前裝載、處理及卸載單個基板。類似於輸送系統,亦可按連續的方式處理基板,其中多個基板單獨裝載於腔室的第一部分中,移動穿過腔室,並且自腔室的第二部分卸載。腔室及相聯的輸送系統的形狀可形成直路徑或彎曲路徑。另外,處理腔室可為旋轉料架,其中多個基板圍繞中心軸移動,並且在整個旋轉料架路徑上曝露於沉積、蝕刻、退火、清洗等製程。
在處理期間,可加熱或冷卻基板。可藉由任何合適的手段完成此加熱或冷卻,此任何合適的手段包括但不限於改變基板支撐物及流向基板表面之流動加熱或冷卻氣體的溫度。在一些實施例中,基板支撐物包括可經控制以傳導方式改變基板溫度的加熱器/冷卻器。在一或多個實施例中,所使用的氣體(反應氣體或惰性氣體)經加熱或冷卻以局部改變基板溫度。在一些實施例中,加熱器/冷卻器安置於鄰接基板表面的腔室內,以對流方式改變基板溫度。
基板在處理期間亦可為固定的或旋轉的。旋轉基板可連續地或以離散的步驟旋轉(圍繞基板軸)。舉例而言,基板可在整個製程中旋轉,或基板可在曝露於不同反應或沖洗氣體之間進行少量旋轉。在處理期間旋轉基板(連續地或逐步地)可有助於藉由最小化(例如)氣體流動幾何形狀中的局部變化的影響來產生更均勻的沉積或蝕刻。
另外,為便於描述,本文可使用諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者的空間相對性術語,以表述如圖中所示的一元件或特徵與另一或另一些要素或特徵的關係。將理解除圖中所描繪的定向外,空間相對性術語意欲涵蓋使用中或運行中元件的不同定向。舉例而言,如果翻轉圖中的元件,則描述為其他要素或特徵「下方」、「之下」的要素可位於其他要素或特徵「上方」。由此,例示性術語「下方」可包含上方及下方的定向。裝置可按其他方式經定向(旋轉90度或其他定向),且因此可解讀本文中使用的空間相對性描述詞。
除非本文另外指明或與上下文顯然矛盾,在描述本文中所討論的材料及方法的上下文中(特別在以下請求項的上下文中),使用術語「一(a)」、「一(an)」及「該」應解釋為涵蓋單數及複數。除非在本文中另外指明,本文中對值的範圍的敘述僅意欲為用於單獨指處於範圍中之每一單獨值的簡記方法,並且每一單獨值如其在本文中所單獨敘述而併入本說明書。除非本文另外指明或與上下文顯然矛盾,可按任何合適的次序執行本文中描述的所有方法。使用本文中提供的所有實例或例示性語言(例如「諸如」)中的任一者及全部僅意欲更好地說明材料及方法,且除非另外主張,不限制範疇。本說明書中的任何語言都不應解釋為表示任何非主張的要素對所揭示材料及方法的實踐重要。
在整個本說明書中指「一實施例」、「某些實施例」、「一或多個實施例」或「實施例」意謂本揭示案的至少一實施例中包括結合實施例描述的特定特徵、結構、材料或特性。由此,諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的片語在整個本說明書中多個位置出現不一定指本揭示案的同一實施例。在一或多個實施例中,以任何合適的方式組合特定的特徵、結構、材料或特性。
雖然已參考特定實施例描述本文中的揭示案,應理解此等實施例僅說明本揭示案的原理及應用。對熟習此項技術者顯而易見的是,可在不脫離本揭示案的精神及範疇的情況下對本揭示案的方法及裝置作出修改和變化。由此,本揭示案意欲包括在所附請求項及其等效項之範疇內的修改和變化。
10:方法 12:操作 14:操作 16:操作 18:操作 20:操作 22:操作 24:操作 26:操作 28:操作 32:操作 34:決定點 36:操作 50:元件 52:元件 54a:特徵 54b:特徵 55:表面 56:側壁 58a:頂部 58b:底部 60:元件 64:第一材料 66:第二材料 68:第三材料 70:第四材料 72:封裝層 74:封裝層 402:堆疊 404:基板 406:導體 408:底電極 410:雙向定限開關材料 412:中間電極 414:鍺銻碲 416:頂電極 418:硬遮罩 472:封裝層 474:介電層 H:高度 W:寬度
為了詳細地理解揭示案的上述特徵的方式,可參考實施例更特定地描述本實施例(上文簡要概述),其中一些實施例在附圖中圖示。然而,應注意,附圖僅圖示揭示案的典型實施例,並且因此不應認為其限制本揭示案的範疇,因為揭示案可承認其他等效的實施例。
第1圖圖示根據揭示案的一或多個實施例的例示性方法的製程流程圖;
第2圖圖示根據揭示案的一或多個實施例的具有多個特徵的基板的示意圖;
第3A圖圖示根據揭示案的一或多個實施例的其上具有一特徵的基板的示意圖;
第3B圖圖示根據揭示案的一或多個實施例的其上具有一特徵的基板的示意圖;
第4A圖圖示根據揭示案的一或多個實施例的具有包含多個材料的PCRAM堆疊的例示性基板;且
第4B圖圖示根據揭示案的一或多個實施例的具有包含多個材料的PCRAM堆疊的例示性基板。
在附圖中,相似的組件及/或特徵可具有相同的元件符號。另外,可藉由在元件符號後添加短劃及區分相似部件的第二符號來區分同一類型的各個部件。如果說明書中僅使用第一元件符號,則不管第二元件符號如何,描述適用於具有同一第一元件符號的相似部件中之任一者。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
402:堆疊
404:基板
406:導體
408:底電極
410:雙向定限開關材料
412:中間電極
414:鍺銻碲
416:頂電極
418:硬遮罩
472:封裝層
474:介電層

Claims (20)

  1. 一種形成一堆疊的方法,該方法包含以下步驟: 在一基板上形成一封裝層,該基板具有至少一個特徵,該封裝層包含氮化矽(SiN)或碳氮化矽(SiCN)中之一或多者;及 氧化該封裝層以形成具有在自4.0至小於7.0的一範圍中的一介電常數的一介電層, 其中該基板為實質上未損壞的。
  2. 如請求項1所述之方法,其中在不破壞真空的情況下在一處理系統中執行形成該封裝層及氧化該封裝層。
  3. 如請求項1所述之方法,其中該基板包含一硫屬化物、碳及一金屬中之一或多者。
  4. 如請求項3所述之方法,其中該基板包含硒(Se)、碲(Te)、硫(S)、銻(Sb)、砷(As)、銦(In)、鍺(Ge)、鎢(W)、碳(C)、氮(N)及矽(Si)中之一或多者。
  5. 如請求項1所述之方法,其中該至少一個特徵具有大於或等於1:1的一深寬比。
  6. 如請求項1所述之方法,其中形成該封裝層之步驟包含以下步驟:將該基板曝露於一矽前驅物及一氮前驅物,且視情況曝露於一電漿。
  7. 如請求項6所述之方法,其中該矽前驅物選自二碘矽烷(DIS)、二氯矽烷(DCS)、四溴化矽、四碘化矽、含碘前驅物、無鹵素矽前驅物、假鹵素矽前驅物、含硫矽前驅物、胺基矽烷前驅物、矽烷前驅物及其衍生物中之一或多者。
  8. 如請求項6所述之方法,其中該氮前驅物選自氮氣(N 2)、氨(NH 3)、聯胺(N 2H 4)、胺、NR xH y(其中R含有碳)、H 2N-R-NH 2(其中R含有碳)及其衍生物中之一或多者。
  9. 如請求項6所述之方法,其中該電漿包含氮(N 2)、氫(H 2)、氨(NH 3)、氦(H 2)及氬(Ar)中之一或多者。
  10. 如請求項1所述之方法,其中氧化該封裝層之步驟包含以下步驟:將該封裝層曝露於一含氧電漿及一氧化劑中之一或多者。
  11. 如請求項10所述之方法,其中該含氧電漿選自氧(O 2)電漿、氧/氬(O 2/Ar)電漿、氧/氦(O 2/He)電漿、二氧化碳(CO 2)電漿、一氧化碳(CO)電漿及氧化亞氮(N 2O)電漿中之一或多者。
  12. 如請求項10所述之方法,其中該氧化劑選自氧(O 2)、水(H 2O)、過氧化氫(H 2O 2)、臭氧(O 3)及氧化亞氮(N 2O)中之一或多者。
  13. 如請求項10所述之方法,其中該介電層74包含氮氧化矽(SiO xN y)及碳氮氧化矽(SiC xO yN z)中之一或多者。
  14. 如請求項1所述之方法,其進一步包含以下步驟:重複該方法,直至該封裝層具有大於或等於10Å的一厚度。
  15. 一種形成一堆疊的方法,該方法包含以下步驟: 將一基板順序地曝露於一矽前驅物及一氮前驅物且視情況曝露於一電漿,以形成一封裝層,該封裝層包含氮化矽及碳氮化矽中之一或多者,該基板具有一深寬比大於或等於1:1的至少一個特徵;及 將該封裝層曝露於一含氧電漿及一氧化劑中之一或多者以形成包含氮氧化矽(SiO xN y)及碳氮氧化矽(SiC xO yN z)中之一或多者的一介電層,該介電層具有在自4.0至小於7.0的一範圍中的一介電常數。
  16. 如請求項15所述之方法,其中在不破壞真空的情況下在一處理系統中執行形成該封裝層及氧化該封裝層。
  17. 如請求項15所述之方法,其中該基板包含一硫屬化物、碳及一金屬中之一或多者。
  18. 如請求項15所述之方法,其中該矽前驅物選自二碘矽烷(DIS)、二氯矽烷(DCS)、四溴化矽、四碘化矽、含碘前驅物、無鹵素矽前驅物、假鹵素矽前驅物、含硫矽前驅物、胺基矽烷前驅物、矽烷前驅物及其衍生物中之一或多者,其中該氮前驅物選自氮氣(N 2)、氨(NH 3)、聯胺(N 2H 4)、胺、NR xH y(其中R含有碳)、H 2N-R-NH 2(其中R含有碳)及其衍生物中之一或多者,且其中該電漿包含氮(N 2)、氫(H 2)、氨(NH 3)、氦(He)及氬(Ar)中之一或多者。
  19. 如請求項15所述之方法,其進一步包含以下步驟:在將該封裝層曝露於該含氧電漿及該氧化劑中之一或多者之前沖洗該基板的該矽前驅物及該氮前驅物。
  20. 如請求項15所述之方法,其進一步包含以下步驟:重複該方法,直至該封裝層具有大於或等於10Å的一厚度。
TW111110123A 2021-03-24 2022-03-18 具可調介電常數之低溫氮化矽/氮氧化矽堆疊膜 TW202247262A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/210,657 US11800824B2 (en) 2021-03-24 2021-03-24 Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant
US17/210,657 2021-03-24

Publications (1)

Publication Number Publication Date
TW202247262A true TW202247262A (zh) 2022-12-01

Family

ID=83365043

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111110123A TW202247262A (zh) 2021-03-24 2022-03-18 具可調介電常數之低溫氮化矽/氮氧化矽堆疊膜

Country Status (3)

Country Link
US (1) US11800824B2 (zh)
KR (1) KR20220133130A (zh)
TW (1) TW202247262A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11706912B2 (en) * 2021-12-02 2023-07-18 Nanya Technology Corporation Method for fabricating semiconductor device with air gap
US11985816B2 (en) 2021-12-06 2024-05-14 Nanya Technology Corporation Semiconductor device with air gap

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10128437B1 (en) 2017-08-31 2018-11-13 Micron Technology, Inc. Semiconductor structures including memory materials substantially encapsulated with dielectric materials, and related systems and methods
SG11202001592XA (en) 2017-09-21 2020-04-29 Applied Materials Inc High aspect ratio deposition
JP7224217B2 (ja) * 2019-03-15 2023-02-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US11732356B2 (en) 2019-07-29 2023-08-22 Applied Materials, Inc. Multilayer encapsulation stacks by atomic layer deposition

Also Published As

Publication number Publication date
KR20220133130A (ko) 2022-10-04
US20220310909A1 (en) 2022-09-29
US11800824B2 (en) 2023-10-24

Similar Documents

Publication Publication Date Title
US10777453B2 (en) Low resistivity films containing molybdenum
US8409988B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
TWI821283B (zh) 沉積方法
TW202247262A (zh) 具可調介電常數之低溫氮化矽/氮氧化矽堆疊膜
JP2011523503A (ja) 相変化メモリデバイスに電極を形成する気相法
CN114667600A (zh) 钼填充
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
CN112997291A (zh) 硫族化物材料的保形无损伤封装
US20200381623A1 (en) Methods of forming silicon nitride encapsulation layers
TWI821661B (zh) 金屬阻障層之摻雜
US20240297039A1 (en) Silicon precursors for silicon nitride deposition
JP7354408B2 (ja) 原子層堆積による多層カプセル化スタック
US20210277516A1 (en) Molecular Layer Deposition of Amorphous Carbon Films
US11133178B2 (en) Seamless gapfill with dielectric ALD films
TWI853988B (zh) 原子層沉積之多層封裝堆疊
US20230279545A1 (en) Process for preparing silicon-rich silicon nitride films
TW202400829A (zh) 填充間隙特徵於基板表面之方法及系統以及其所形成的結構
TW202142722A (zh) 非晶碳膜的分子層沉積
TW202433561A (zh) 含有鉬之低電阻係數膜