TW202245270A - 半導體裝置結構 - Google Patents

半導體裝置結構 Download PDF

Info

Publication number
TW202245270A
TW202245270A TW111108337A TW111108337A TW202245270A TW 202245270 A TW202245270 A TW 202245270A TW 111108337 A TW111108337 A TW 111108337A TW 111108337 A TW111108337 A TW 111108337A TW 202245270 A TW202245270 A TW 202245270A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
dielectric
semiconductor
semiconductor device
Prior art date
Application number
TW111108337A
Other languages
English (en)
Inventor
黃瑞乾
江國誠
王志豪
朱熙甯
陳冠霖
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202245270A publication Critical patent/TW202245270A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate

Abstract

本揭露描述了一種半導體裝置結構及其形成方法。結構包括:第一源極/汲極磊晶部件;第二源極/汲極磊晶部件,設置鄰近於第一源極/汲極磊晶部件;第一介電層,設置於第一源極/汲極磊晶部件及第二源極/汲極磊晶部件之間;第一介電間隔物,設置於第一介電層下方;及第二介電層,設置於第一介電層下方且接觸第一介電間隔物。第二介電層及第一介電間隔物包括不同材料。

Description

半導體裝置結構
本揭露是有關於一種半導體裝置結構,且特別是有關於一種具有介電間隔物的半導體裝置結構。
半導體積體電路(integrated circuit, IC)產業已歷經了指數成長。IC材料及設計的技術性進步已產生了數個世代的ICs,其中各世代都比前一世代具有更小且更複雜的電路。在IC演進的歷程中,功能密度(即單位晶片面積的內連線裝置數目)通常會增加,而幾何尺寸(即可使用製程生產的最小元件(或線))卻減少。此微縮化(scaling down)的製程通常藉由提高生產效率及降低相關成本來提供效益。這種縮減提出了新的挑戰。例如,已經提出使用奈米線通道的電晶體來在裝置中實現更高的裝置密度、更大的載子(carrier)遷移率及驅動電流。隨著裝置尺寸的減小,需要不斷改善處理及製造ICs。
本發明實施例提供一種半導體裝置結構,包括:第一源極/汲極磊晶部件;第二源極/汲極磊晶部件,設置鄰近於第一源極/汲極磊晶部件;第一介電層,設置於第一源極/汲極磊晶部件及第二源極/汲極磊晶部件之間;第一介電間隔物,設置於第一介電層下方;及第二介電層,設置於第一介電層下方且接觸第一介電間隔物,其中第二介電層及第一介電間隔物包括不同材料。
本發明實施例提供一種半導體裝置結構,包括:第一半導體層,具有第一表面;第一介電間隔物,接觸第一半導體層,其中第一介電間隔物具有第二表面;第二介電間隔物,接觸第一介電間隔物,其中第二介電間隔物具有第三表面;間隔物,接觸第二介電間隔物,其中間隔物具有第四表面,其中第一表面、第二表面、第三表面及第四表面實質上共平面;第一源極/汲極磊晶部件,接觸第一半導體層的第一表面;及第一介電層,接觸第二介電間隔物及第一源極/汲極磊晶部件。
本發明實施例提供一種半導體裝置結構的形成方法,包括:從基板形成第一鰭片、第二鰭片及第三鰭片,其中第一鰭片包含第一複數個半導體層,第二鰭片包含第二複數個半導體層,並且第三鰭片包含第三複數個半導體層,且其中第一複數個半導體層、第二複數個半導體層及第三複數個半導體層各自包括多個第一半導體層及多個第二半導體層;形成第一介電部件在第一鰭片及第二鰭片之間,其中第一介電部件具有第一介電層及第二介電層,第二介電層接觸第一介電層的側壁及底部;形成第二介電部件在第二鰭片及第三鰭片之間,其中第二介電部件具有第三介電層及第四介電層,第四介電層接觸第三介電層的側壁及底部;形成犧牲閘極堆疊在第一鰭片、第二鰭片、第三鰭片、第一介電部件及第二介電部件的一部分上,其中露出第一鰭片、第二鰭片、第三鰭片、第一介電部件及第二介電部移除未被犧牲閘極堆疊所覆蓋的第一鰭片、第二鰭片及第三鰭片的露出部分的一部分;移除第二介電層及第四介電層的露出部分;橫向凹蝕第二介電層及第四介電層;形成介電間隔物在第一介電層及第三介電層下方以及在藉由第二介電層及第四介電層的橫向凹蝕所產生的空間中;及移除犧牲閘極堆疊,以露出部分的第一鰭片、第二鰭片及第三鰭片。
以下揭露提供了許多不同的實施例或範例,以進行本發明實施例的不同部件。以下描述組件及配置方式的具體範例,以簡化本發明實施例。當然,這些僅僅是範例,而非意圖限制本發明實施例。舉例而言,在以下描述中提及於第二部件上形成第一部件,其可包含第一部件及第二部件以直接接觸的方式形成的實施例,並且可包含在第一部件及第二部件之間形成額外的部件,使得第一部件及第二部件可不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參照數值以及∕或字母。如此重複是為了簡明及清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,此處可能使用空間相對用語,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」等類似的用語,以便描述圖式中一個部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用語除了包含圖示繪示的方位外,也意圖包含使用中或操作中之裝置的不同方位。當裝置被旋轉至不同方位時(旋轉90度或其他方位),此處所使用的空間相對描述也將同樣地依旋轉後的方位來解釋。
本揭露的實施例涉及例如奈米結構FETs的全繞式閘極(gate all around, GAA)電晶體,其具有包括交替地形成在基板上方的第一及第二半導體層的半導體疊層。第一半導體層形成電晶體的(多個)奈米結構通道。移除部分的第二半導體層,使得奈米結構通道(例如,第一半導體層)被閘極電極所包裹。根據本揭露的實施例,一個或多個奈米結構通道附接(attached)到介電部件的兩側以形成叉狀(fork-like)奈米結構電晶體,例如叉片(forksheet)電晶體。設置於位於源極/汲極區中的介電部件周圍的高k介電層的一部分被移除並且替換為相較於高k介電層具有不同蝕刻選擇性的介電材料。因此,避免了閘極到源極/汲極橋接。再者,將高k介電層替換為具有較低k值的介電材料,因此可降低裝置電容。
雖然本揭露的實施例是討論關於奈米結構通道 FETs,但本揭露的一些面向的實施方式可用在其他製程及/或其他裝置中,例如平面FETs、鰭片FETs、水平全繞式閘極(Horizontal Gate All Around, HGAA) FETs、垂直全繞式閘極 (Vertical Gate All Around, VGAA) FETs、及其他合適的裝置。本領域具有通常知識者將容易地理解在本揭露的範圍內可進行的其他修改。在採用全繞式閘極 (gate all around, GAA) 電晶體結構的情況下,GAA電晶體結構可通過任何合適的方法來圖案化。舉例而言,可使用一種或多種光學微影製程對結構進行圖案化,包括雙重圖案化或多重圖案化製程。一般來說,雙重圖案化或多重圖案化製程結合了光學微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距更小的圖案。例如,在一實施例中,在基板上方形成犧牲層,並使用光學微影製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。之後,去除犧牲層,然後可使用剩餘的間隔物來圖案化GAA結構。
第1圖至第22B圖係根據一些實施例,繪示出用於製造半導體裝置結構100的例示性順序製程。應理解的是,可在第1圖至第22B圖所示的製程之前、期間及之後提供額外的操作,並且對於該方法的額外實施例,可替換或消除後文描述的一些操作。操作/製程的順序可互換。
如第1圖所示,在基板101上方形成半導體疊層104。基板101可為半導體基板。如第1圖所示,半導體裝置結構100包括在基板101的前側上方形成的半導體疊層104。基板101可包括單晶半導體材料,例如但不限於矽(silicon, Si)、鍺(germanium, Ge)、矽鍺(silicon-germanium, SiGe)、砷化鎵(gallium arsenide, GaAs)、銻化銦(indium antimonide, InSb)、磷化鎵(gallium phosphide, GaP)、銻化鎵(gallium antimonide, GaSb)、砷化銦鋁(indium aluminum arsenide, InAlAs)、砷化銦鎵(indium gallium arsenide, InGAAs)、磷化鎵銻 (gallium antimony phosphide, GaSbP)、砷銻化鎵 (gallium arsenic antimonide, GAAsSb) 、及磷化銦(indium phosphide, InP)。在本實施例中,基板101是由Si所製成。在一些實施例中,基板101是絕緣體上覆矽(silicon-on-insulator, SOI)基板,其包括設置於兩個矽層之間的絕緣層(未示出)。在一面向,絕緣層為氧化物。
基板101可包括已經摻雜有雜質(例如,具有p型或n型雜質的摻質)的各種區域。根據電路設計,摻質可例如為用於p型場效電晶體(field-effect transistor, FET)的硼及用於n型場效電晶體的磷。
半導體層疊層104包括由不同材料所製成的交替半導體層以促進多閘極裝置中奈米片通道的形成,例如奈米片通道FETs或叉片FETs。在一些實施例中,半導體疊層104包括第一半導體層106(106a、106b)及第二半導體層108(108a、108b)。在一些實施例中,半導體疊層104包括交替的第一半導體層106及第二半導體層108。第一半導體層106與第二半導體層108對齊。第一半導體層106及第二半導體層108是由具有不同蝕刻選擇性及/或氧化速率的半導體材料所製成。舉例而言,第一半導體層106是由Si所製成,並且第二半導體層108是由SiGe所製成。在一些示例中,第一半導體層106可由SiGe所製成,並且第二半導體層108可由Si所製成。在一些情況下,第一半導體層106或第二導體層108中的SiGe可具有介於約10%至約80%之間的鍺成分百分比。替代地,在一些實施例中,半導體層106、108中的任一個可為或包括其他材料,例如Ge、SiC、GeAs、GaP、InP、InAs、InSb、GAAsP、AlInAs、AlGAAs、InGAAs、GaInP、GaInAsP、或其任何組合。
第一半導體層106或其部分可在之後的製造階段中形成半導體裝置結構100的(多個)奈米結構通道。術語奈米結構在本文中用於表示具有奈米級或甚至微米級尺寸並且具有任何合適的形狀任何材料部分,而不管部分的剖面形狀如何,上述合適的形狀例如細長形狀。因此,此術語代指圓形以及實質上為圓形的剖面細長材料部份,以及具有例如圓柱形或實質上矩形剖面的柱狀(beam)或條狀(bar-shaped)材料部分。半導體裝置結構100的(多個)奈米結構通道可被閘極電極層所圍繞。舉例而言,(多個)奈米結構通道的至少三個表面可被閘極電極所圍繞,並且電晶體為叉片電晶體。奈米結構電晶體可被稱為奈米片電晶體、奈米線電晶體、叉片電晶體、全繞式閘極(gate-all-around, GAA)電晶體、多橋通道(multi-bridge-channel, MBC)電晶體、或具有圍繞通道的閘極電極層的任何電晶體。
應注意的是,雖然如第1圖所示交替地設置兩層第一半導體層106及兩層第二半導體層108,其是出於描述的目的並且不意圖將本揭露作出除了請求項中明確記載範圍之外的限制。應理解的是,可在半導體疊層104中形成任意數量的第一半導體層106及第二半導體層108;層數取決於半導體裝置結構100的預定通道數。在一些實施例中,第一半導體層106的數量在3至8之間,上述第一半導體層106的數量作為通道數。
通過任何合適的沉積製程來形成第一半導體層106及第二半導體層108,上述合適的沉積製程例如磊晶。舉例而言,半導體疊層104之層的磊晶成長可通過分子束磊晶(molecular beam epitaxy, MBE)製程、金屬有機化學氣相沉積(metalorganic chemical vapor deposition, MOCVD)製程及/或其他合適的磊晶成長製程來進行。
基板101可包括在半導體疊層104上的犧牲層107。犧牲層107在隨後的製程期間保護半導體疊層104,並且在形成犧牲閘極堆疊(第12A圖)之前與一部分的披覆層(cladding layer)(第11圖)一起被移除。在半導體疊層104的第一半導體層106為Si的情況下,犧牲層107包括磊晶成長在第一半導體層106上的SiGe。
在犧牲層107上方形成遮罩結構110。遮罩結構110可為多層結構。在一些實施例中,遮罩結構110包括含氧層及含氮層。含氧層可為墊氧化物層(pad oxide layer),例如SiO 2層。含氮層可為墊氮化物層,例如Si 3N 4。遮罩結構110可通過任何合適的沉積製程來形成,例如化學氣相沉積(chemical vapor deposition, CVD)製程。
第2圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的透視圖。如第2圖所示,鰭片112(112a-112c)是由半導體疊層104及基板101所形成。每個鰭片112具有包括半導體疊層104的上部及由基板101所形成的基板部分116。鰭片112可使用包括光學微影及蝕刻製程的多重圖案化操作來製造。蝕刻製程可包括乾式蝕刻、濕式蝕刻、反應性離子蝕刻(reactive ion etching, RIE) 、及/或其他合適的製程。光學微影製程可包括在硬遮罩層上方形成光阻層(未示出),將光阻層曝光為圖案,進行曝光後烘烤製程,以及對光阻層進行顯影以形成包括光阻層的遮罩元件。在一些實施例中,可使用電子束(e-beam)光學微影製程對光阻層進行圖案化以形成遮罩元件。蝕刻製程在未受保護的區域中形成溝槽114(例如,114a、114b)穿過遮罩結構110、穿過半導體疊層104並進入基板101,因此留下複數個延伸鰭片112(例如,112a、112b、112c)。
如第2圖所示,在鰭片112a、112b、112c之間形成具有不同寬度的溝槽114a及114b。溝槽114a形成於鰭片112a與鰭片112b之間,並且具有寬度W01。溝槽114b形成於鰭片112b與鰭片112c之間,並且具有寬度W02。寬度W02可等於、小於或大於寬度W01。在第2圖所示的實施例中,寬度W01大於寬度W02。如前文所述,第一半導體層106可用作叉片電晶體裝置中的通道,並且由鰭片112b、112c所形成的通道可從形成在溝槽114b中的介電部件延伸。
第3圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的透視圖。如第3圖所示,在形成鰭片112之後,在基板101上形成絕緣材料118。絕緣材料118填充相鄰鰭片112之間的溝槽114(第2圖)直到鰭片112嵌入至絕緣材料118中。然後,進行平坦化製程,使得鰭片112的頂部露出,上述平坦化操作例如化學機械拋光(chemical mechanical polishing, CMP)製程及/或回蝕刻製程。絕緣材料118可由氧化矽、氮化矽、氮氧化矽(silicon oxynitride, SiON)、SiOCN、SiCN、氟矽酸鹽玻璃(fluorine-doped silicate glass, FSG)、低k介電材料或任何合適的介電材料所製成。絕緣材料118可通過任何合適的方法來形成,例如低壓化學氣相沉積(low-pressure chemical vapor deposition, LPCVD)、電漿增強CVD(plasma enhanced CVD, PECVD)、或流動式化學氣相沉積 (flowable CVD, FCVD)。
第4圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的透視圖。如第4圖所示,凹蝕絕緣材料118以形成隔離區120。絕緣材料118的凹蝕露出鰭片112的部分,例如半導體疊層104。絕緣材料118的頂表面可與第二半導體層108b的表面齊平或略低於該表面,上述第二半導體層108b的表面接觸基板部分116。之後,通過任何合適的製程例如蝕刻製程來移除遮罩結構110。
第5圖至第11圖係根據一些實施例,係沿著第4圖的剖面A-A所截取之製造半導體裝置結構的各階段的剖面側視圖。如第5圖所示,半導體裝置結構100具有沿著Y方向形成的三個鰭片112a、112b及112c。如前文所述,鄰近鰭片112a、112b及112c之間的距離可變化。隨著鰭片112b及112c之間的溝槽114b的較小寬度W02(即,減小的鰭片到鰭片間距),隨後形成之第一介電部件130(第7圖)的層可填充溝槽114b(第6圖)。第一介電部件130允許奈米結構通道從第一介電部件130的兩側延伸並在之後的階段形成叉片電晶體。減少的鰭片到鰭片間距及叉狀奈米結構電晶體可實現更高的裝置密度(即使具有更大的通道寬度)以及卓越的面積及性能可擴縮性(scalability)。
如第6圖所示,在半導體裝置結構100的露出表面上及溝槽114a、114b(第5圖)、114c、114d中形成第一介電層126。第一介電層126可包括具有k值大於二氧化矽的k值的高k材料。在實施例中,高k材料具有大於7的k值。例示性材料可包括但不限於金屬氧化物或合金金屬氧化物,上述金屬氧化物例如HfO 2、ZrO 2、TiO 2、Al 2O 3、La 2O 3、Y 2O 3、ScO 2,上述合金金屬氧化物例如 HfAlO x、HfSiO x、HfZrO x、ZrAlO x、ZrSiO x,其中x可為整數或非整數。第一介電層126可通過保形製程來形成,例如ALD製程。第一介電層126可形成在溝槽114a、114b、114c、114d的底部的絕緣材料118的露出表面上以及鰭片112a、112b、112c(例如,第一導體層106及第二半導體層108 以及犧牲層 107)的露出部分上。第一介電層126可具有實質上相同的厚度,範圍從約2nm至約7nm。
接著,在溝槽114a、114b(第5圖)、114c、114d中及鰭片112a、112b、112c上方的第一介電層126上形成第二介電層128。第二介電層128填滿溝槽114b,因溝槽114b具有較小的寬度W02,但第二介電層128並未填滿溝槽114a。第二介電層128可包括低k介電材料(例如,具有k值低於二氧化矽的k值的材料),例如SiOCH或多孔SiO 2。在一些實施例中,第二介電層128是具有k值小於7的含矽介電材料,例如SiO 2、SiCN、SiOC或SiOCN。第二介電層128可通過保形製程來形成,例如ALD製程。第二介電層128可具有範圍從約5nm至約30nm的厚度。如果第二介電層128的厚度小於約5nm,則可不填充溝槽114b。另一面向,如果第二介電層128的厚度大於約30 nm,則製造成本增加而無明顯優點。
接著,如第7圖所示,凹蝕第一介電層126及第二介電層128。第一介電層126及第二介電層128的凹蝕可通過任何合適的移除製程來進行,例如乾式蝕刻、濕式蝕刻、蝕刻或其組合。移除製程可為選擇性蝕刻製程,其移除第一介電層126及第二介電層128但不移除犧牲層107、第一半導體層106、第二半導體層108及絕緣材料118。因為溝槽114a、114c、114d沒有完全填充且相較於與溝槽114b(第5圖)在Y方向上具有更大的尺寸(即,寬度W01),蝕刻劑相較於移除溝槽114b(第5圖)中的第一介電層126及第二介電層128移除了更多的溝槽114a、114c、114d中的第一介電層126及第二介電層128。因此,溝槽114a、114c、114d中的第一介電層126及第二介電層128以相較於溝槽114b中的第一介電層126及第二介電層128的蝕刻速率更快的速率被蝕刻。在第一介電層126及第二介電層128包括不同材料的情況下,可進行第一蝕刻製程以將第二介電層128凹蝕,隨後進行第二蝕刻製程以凹蝕第一介電層126。
進行移除製程直至溝槽114a、114c、114d中的第一介電層126及第二介電層128被完全蝕刻掉。移除製程也移除了鰭片112a、112b、112c及絕緣材料118的露出表面上的第一介電層126及第二介電層128。作為移除製程的結果,除了填充在溝槽114b(第5圖)中的第一介電層126及第二介電層128之外,移除了半導體裝置結構100的露出表面上的第一介電層126及第二介電層128。溝槽114b中的第一介電層126及第二介電層128在本文中可被稱為第一介電部件130。第二介電層128的側壁127(127a、127b)及底部129接觸第一介電層126。側壁127a與側壁127b相對,底部129連接側壁127a與側壁127b。第一介電層126形成與上述第一介電層126的厚度相對應的第一厚度T1,並且第二介電層128形成大於第一厚度T1的第二厚度T2。
接著,如第8圖所示,在半導體疊層104、介電部件130及絕緣材料118 (例如,第一介電層126的頂表面及第二介電層128的頂表面)的露出表面上形成披覆層132。披覆層132可通過保形製程來形成,例如ALD製程。披覆層132可具有實質上相同的厚度,範圍從約1nm至約10nm。在鰭片112a、112b、112c的側壁上形成的披覆層132的厚度可定義用於在隨後移除披覆層132之後形成的第二介電部件134(第10圖)及閘極電極層182(第22A圖)的空間。因此,如果披覆層132的厚度大於約10nm,溝槽114a、114c、114d可能被填充,導致第二介電部件134不能在溝槽114a、114c、114d中形成。在一些實施例中,披覆層132包括半導體材料。在一些實施例中,披覆層132及第二半導體層108是由具有相同蝕刻選擇性的相同材料所製成。舉例而言,披覆層132及第二半導體層108包括SiGe。隨後可移除披覆層132及第二半導體層108,以為閘極電極層創造空間。
接著,如第9圖所示,移除部分的披覆層132。披覆層132的移除可通過任何合適的移除製程來進行,例如乾式蝕刻、濕式蝕刻、或其組合。移除製程可為非等向性蝕刻製程,以移除形成於鰭片112a、112b、112c的水平表面(例如,犧牲層107、第一介電層126及第二介電層128的頂表面)上及在絕緣材料118上的披覆層132。移除製程不移除形成在鰭片112a、112b、112c的垂直表面上的披覆層132。
接著,如第10圖所示,在溝槽114a、114c、114d(第9圖)中形成第二介電部件134。第二介電部件134包括第三介電層136及形成在第三介電層136上的第四介電層138。第三介電層136可包括高k介電材料。在一些實施例中,第三介電層136包括與第一介電層126相同的材料。第四介電層138可包括低k介電材料。在一些實施例中,第四介電層138為具有k值小於約7的含矽介電材料,例如SiCN、SiOC或SiOCN。在一些實施例中,第四介電層138包括與第二介電層128相同的材料。可以與第一介電部件130類似的方式形成第二介電部件134。舉例而言,可使用保形製程形成第三介電層136在披覆層132上及在溝槽114a、114c、114d的底部的絕緣材料118的露出表面上以及在第一介電部件的露出部分上(例如,第一介電層126及第二介電層128的頂表面),上述保形製程例如ALD製程。第三介電層136可具有實質上相同的厚度,範圍從約1nm至約30nm。
然後,在溝槽114a、114c、114d中以及鰭片112a、112b、112c及第一介電部件130上方形成第四介電層138。可通過流動式製程例如FCVD製程形成第四介電層138。第四介電層138可具有範圍從約2nm至約15nm的厚度。第四介電材料138填充溝槽114a、114c、114d。因此,如果第四介電層138的厚度小於約2nm,則溝槽114a、114c、114d可能不被填充。
接著,如第10圖所示,進行一平坦化製程,使第三介電層136、披覆層132、犧牲層107、第四介電層138、第一介電層126及第二介電層128的頂表面實質上共平面。平坦化製程可為任何合適的製程,例如CMP製程。第二介電部件134形成在溝槽114a、114c、114d中(第9圖)。第四介電層138的側壁133(133a、133b)及底部135與第三介電層136接觸。側壁133a與側壁133b相對,底部135連接側壁133a至側壁133b。第三介電層136形成對應於上述第三介電層136之厚度的第三厚度T3,並且第四介電層138形成大於第三厚度T3的第四厚度T4。第三介電層136的第三厚度T3可大於、等於或小於第一介電層126的第一厚度T1。在一些實施例中,第三厚度T3與第一厚度T1實質上相同,約為1奈米至約10奈米。在一些實施例中,第三厚度T3小於第一厚度T1。在一些實施例中,第三厚度T3大於第一厚度T1。同樣地,第四介電層138的第四厚度T4可大於、等於或小於第二介電層128的第二厚度T2。在一實施例中,第四厚度T4等於第二厚度T2,約5nm至約40nm。在一些實施例中,第四厚度T4小於第二厚度T2。在一些實施例中,第四厚度T4大於第二厚度T2。
在第11圖中,凹蝕披覆層132,並且移除犧牲層107。披覆層132的凹蝕可通過任何合適的蝕刻製程來進行,例如乾式蝕刻、濕式蝕刻或其組合。可控制蝕刻製程,使得剩餘的披覆層132與半導體疊層104中最頂部的第一半導體層106的頂表面140實質上處於同一水平面。在披覆層132及犧牲層107是由SiGe所製成的條件下,蝕刻製程可為移除披覆層132及犧牲層107但不移除第一介電部件130及第二介電部件134以及第一半導體層106的層的選擇性蝕刻製程。
第12A圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的透視圖。第12B圖及第12C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C所截取之半導體裝置結構100的俯視圖。剖面B-B在X-Y平面中延伸穿過第一半導體層106a,剖面C-C在X-Y平面中延伸穿過第二半導體層108a。如第12A圖所示,形成一個或多個犧牲閘極堆疊142在半導體裝置結構100上。每個犧牲閘極堆疊142可包括犧牲閘極介電層144、犧牲閘極電極層146及遮罩結構148。犧牲閘極介電層144可包括一層或多層介電材料,例如SiO 2、SiN、高k介電材料及/或其他合適的介電材料。在一些實施例中,可通過CVD製程、次氣壓CVD(sub-atmospheric CVD, SACVD)製程、FCVD製程、ALD製程、PVD製程或其他合適的製程來沉積犧牲閘極介電層144。犧牲閘極電極層146可包括多晶矽(polysilicon)。遮罩結構148可包括含氧層150及含氮層152。犧牲閘極電極層146及遮罩結構148可通過各種製程來形成,例如層沉積,例如CVD(包括LPCVD及 PECVD)、PVD、ALD、熱氧化、電子束蒸發或其他合適的沉積技術或其組合。
犧牲閘極堆疊142可通過首先沉積犧牲閘極介電層144、犧牲閘極電極層146及遮罩結構148的毯覆層,然後進行圖案化及蝕刻製程來形成。舉例而言,圖案化製程例如包括微影製程(例如,光學微影或電子束微影),其可進一步包括光阻塗佈(例如旋塗)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,旋轉乾燥及/或硬烘烤)、其他合適的光學微影技術及/或其組合。在一些實施例中,蝕刻製程可包括乾式蝕刻(例如,RIE)、濕式蝕刻、其他蝕刻方法及/或其組合。通過圖案化犧牲閘極堆疊142,鰭片112a、112b、112c的半導體疊層104在犧牲閘極堆疊142的兩側上部分地露出。雖然繪示出了一個犧牲閘極堆疊142,但是犧牲閘極堆疊142的數量不限於一個。在一些實施例中,可沿著X方向設置多於一個的犧牲閘極堆疊142。
第13A圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的透視圖。第13B圖及第13C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C所截取之半導體裝置結構100的俯視圖。第13D圖係沿著第12A圖的剖面D-D所截取之半導體裝置結構100的剖面側視圖。剖面D-D在X-Z平面內延伸穿過鰭片112a。如第13A圖至第13D圖所示,在犧牲閘極堆疊142的側壁上形成間隔物154。間隔物154可通過首先沉積保形層隨後回蝕刻以形成側壁間隔物154來形成。舉例而言,間隔物材料層可保形地設置於半導體裝置結構100的露出表面上。保形間隔物材料層可通過ALD製程來形成。隨後,使用例如RIE在間隔物材料層上進行非等向性蝕刻。在非等向性蝕刻製程期間,大部分間隔物材料層從水平表面上被移除,例如鰭片112a、112b、112c、披覆層132、第三介電層136及第四介電層138的頂部,留下間隔物154在垂直表面上,例如犧牲閘極堆疊142的側壁上。間隔物154可由介電材料所製成,例如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN及/或其組合。
如第13A圖至第13D圖所示,通過使用一種或多種合適的蝕刻製程選擇性地凹蝕或移除鰭片112a、112b、112c(第12A圖)的露出部分、披覆層132的露出部分、第一介電層126及第二介電層128的露出部分、以及未被犧牲閘極堆疊142及間隔物154所覆蓋之第三介電層136及第四介電層138的露出部分,上述蝕刻製程例如乾式蝕刻、濕式蝕刻或其組合。在一些實施例中,移除鰭片112a、112b、112c的半導體疊層104的露出部分及披覆層132的露出部分,露出基板101的部分的基板部分116。
在一些實施例中,如第13A圖所示,蝕刻製程可降低第一介電層126及第二介電層128(即介電部件130)的露出部分以及第三介電層136及第四介電層138(即介電部件134)的露出部分的高度。因此,犧牲閘極堆疊142及間隔物154下方的第三介電層136具有高度H1,而位於源極/汲極(source/drain, S/D)磊晶部件160(第17A圖)之間的第三介電層136的高度H2小於高度H1。高度H1的範圍可從約10nm至30nm。具有高度H1的介電部件134的部分用於切斷(cut off)閘極電極層,且具有高度H2的介電部件134的部分在S/D區中用於間隔開源極及汲極區。因此,如果高度H1小於約10nm,閘極電極層可能不會被充分地切斷。另一方面,如果高度H1大於約30nm,則製造成本增加而沒有顯著的優點。同樣地,S/D磊晶部件160(第17A圖)之間的第一介電層126的高度將小於犧牲閘極堆疊142及間隔物154下方的第一介電層126的高度。
在此階段,如第13D圖所示,犧牲閘極堆疊142及間隔物154下方的半導體疊層104的端部具有實質上平坦的表面,其可與相對應的間隔物154齊平。在一些實施例中,在犧牲閘極堆疊142及間隔物154下方的半導體疊層104的端部被略微水平地蝕刻。
第14A圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的透視圖。第14B圖及第14C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C所截取之半導體裝置結構100的俯視圖。第14D圖係沿著第12A圖的剖面D-D所截取之半導體裝置結構100的剖面側視圖。如第14A圖至第14D圖所示,移除每個第二半導體層108的邊緣部分及披覆層132的邊緣部分以形成間隙(gap),並且在間隙中形成介電間隔物158。在一些實施例中,通過不移除第一半導體層106的選擇性濕式蝕刻製程移除部分的第二半導體層108及披覆層132。舉例而言,在第二半導體層108及披覆層132是由SiGe所製成且第一半導體層106是由矽所製成的情況下,可使用包括氨及過氧化氫混合物(ammonia and hydrogen peroxide mixtures, APM)的選擇性濕式蝕刻。在一些實施例中,介電間隔物158可由低k介電材料所製成,例如SiOCH或多孔SiO 2。在一些實施例中,介電間隔物158包括k值小於7的材料,例如SiON、SiCN、SiOC、SiOCN或SiN。在一些實施例中,可通過首先使用例如ALD的保形沉積製程形成保形介電層,然後進行非等向性蝕刻以移除介電間隔物158之外的保形介電層的部分來形成介電間隔物158。在非等向性蝕刻製程期間,可由第一半導體層106來保護介電間隔物158。如第14D圖所示,介電間隔物158可與對應的間隔物154齊平。
第15A圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的透視圖。第15B圖及第15C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C所截取之半導體裝置結構100的俯視圖。第15D圖係沿著第15C圖的剖面D-D所截取之半導體裝置結構100的剖面側視圖。第15E圖係沿著第15C圖的剖面E-E所截取之半導體裝置結構100的剖面側視圖。剖面D-D在延伸穿過第二介電層128的X-Z平面中,並且剖面C-C在延伸穿過第三介電層136的X-Z平面中。如第15A圖至第15E圖所示,移除部分的第一介電層126及第三介電層136。在一些實施例中,如第13A圖至第13D圖中所述,第一介電層126及第三介電層136是由高k介電材料所製成,其可在移除鰭片112a、112b、112c以及披覆層132的露出部分期間保護第二介電層128及第四介電層138。在沒有第一介電層126及第三介電層136的情況下,第二介電層128及第四介電層138可能會受到移除製程的顯著影響。然而,在移除鰭片112a、112b、112c及披覆層132的露出部分之後,可從S/D區移除第一介電層126及第三介電層136以降低裝置的電容。
部分的第一介電層126及第三介電層136的移除可通過任何合適的製程來進行,例如乾式蝕刻、濕式蝕刻或其組合。在一些實施例中,使用鹵素基(halogen-containing)的乾式蝕刻,例如氯基(chlorine-based)的乾式蝕刻,並且蝕刻劑可包括鹵素,例如BCl 3、SiCl 4、Cl 2或其他含鹵素的蝕刻劑。鹵素基的乾式蝕刻可為實質上不影響第二介電層128及第四介電層138、介電間隔物158、間隔物154、絕緣材料118及第一半導體層106的選擇性蝕刻製程。移除部分的第一介電層126及第三介電層136不僅包括第一介電層126及第三介電層136的露出部分,也包括位於間隔物154以及第二介電層128及第四介電層138下方的第一介電層126及第三介電層136的部分。如第15A圖、第15D圖、第15E圖所示,可沿著X方向橫向蝕刻第一介電層126及第三介電層136,並且可從平面P對剩餘的第一介電層126及第三介電層136進行蝕刻,上述平面P是由設置於犧牲閘極電極層146的兩側側壁上的間隔物154的外表面155所定義。
第16A圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的透視圖。第16B圖及第16C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C所截取之半導體裝置結構100的俯視圖。第16D圖係沿著第15C圖的剖面D-D所截取之半導體裝置結構100的剖面側視圖。第16E圖係沿著第15C圖的剖面E-E所截取之半導體裝置結構100的剖面側視圖。如第16A圖至第16E圖所示,介電間隔物157形成在間隔物154以及第二介電層128及第四介電層138下方。介電間隔物157可包括具有比第一介電層126及第三介電層136的k值低的材料。相較於第一介電層126及第三介電層136的材料以及第二介電層128及第四介電層138的材料,介電間隔物157的材料也可具有不同的蝕刻選擇性。舉例而言,介電間隔物157可包括低k介電材料或k值小於7的介電材料。在一些實施例中,介電間隔物157包括與第一介電層126、第二介電層128、第三介電層136及第四介電層138的材料不同的材料。在一些實施例中,介電間隔物157包括與介電間隔物158相同的材料。在一些實施例中,介電間隔物157、158的材料不同。在一些實施例中,可通過首先使用例如ALD的保形沉積製程來形成保形介電層,隨後進行非等向性蝕刻以移除除了介電間隔物157之外的保形介電層的部分來形成介電間隔物157。在非等向性蝕刻製程期間,介電間隔物157可被間隔物154、第二介電層128及第四介電層138所保護。如第16E圖所示,介電間隔物157的一部分可與對應的間隔物154齊平。舉例而言,設置於間隔物154下方並與間隔物154接觸的介電間隔物157的部分包括外表面159,其實質上與間隔物154的外表面155共平面。如第16D圖所示,設置於第四介電層138(或第二介電層128)下方並與第四介電層138(或第二介電層128)接觸的介電間隔物157的部分可鄰近且接觸第三介電層136(或第一介電層126)設置。
在一些實施例中,如16A圖所示,間隔物154的外表面155、設置於間隔物154下方並與間隔物154接觸的部分的介電間隔物157的外表面159、介電間隔物158的外表面161、設置於間隔物154下方並與間隔物154接觸的一部分的第二介電層128的外表面165、以及設置於間隔物154下方並與間隔物154接觸的一部分的第四介電層138的外表面167可實質上共平面。在一些實施例中,第一半導體層106的外表面163也可與外表面155、159、161、165、167實質上共平面。
第17A圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的透視圖。第17B圖及第17C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C所截取之半導體裝置結構100的俯視圖。如第17A圖、第17B圖、第17C圖所示,S/D磊晶部件160形成在鰭片112a、112b、112c的基板部分116上。S/D磊晶部件160可包括用於n通道FET的一層或多層Si、SiP、SiC及SiCP或用於p通道FET的Si、SiGe、Ge。S/D磊晶部件160可垂直地及水平地成長以形成晶面(facet),其可對應於用於基板101的材料的結晶平面(crystalline planes)。通過磊晶成長方法使用CVD、ALD或 MBE來形成S/D磊晶部件160。如第17B圖、17C所示,S/D磊晶部件160與第一半導體層106、介電間隔物158以及第二介電層128及第四介電層138接觸。S/D磊晶部件160可為S/D區。舉例而言,位於第一半導體層106一側的一對S/D磊晶部件160中的一個可為源極區,而位於第一半導體層106另一側的一對S/D磊晶部件160中的另一個可為汲極區。一對S/D磊晶部件160包括由通道(即,第一半導體層106)所連接的源極磊晶部件160及汲極磊晶部件160。在本發明中,可互換地使用源極及汲極,且其結構實質上相同。如前文所述,從S/D區移除部分的第一介電層126及第三介電層136以減小裝置電容。
第18A圖及第18B圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的剖面圖。第18A圖係沿著第4圖的剖面A-A所截取之半導體裝置結構100的剖面圖,並且第18B圖係沿著第12A圖的剖面D-D所截取之半導體裝置結構100的剖面圖。在形成S/D磊晶部件160之後,可在S/D磊晶部件160、第一介電部件130及第二介電部件134上形成接觸蝕刻停止層(contact etch stop layer, CESL)162。CESL 162 可包括含氧材料或含氮材料,例如氮化矽(silicon nitride)、氮碳化矽(silicon carbon nitride)、氮氧化矽(silicon oxynitride)、氮化碳(carbon nitride)、氧化矽(silicon oxide)、碳氧化矽(silicon carbon oxide)等、或其組合。CESL 162可通過CVD、PECVD、ALD或任何合適的沉積技術來形成。在一些實施例中,CESL 162是通過ALD製程形成的保形層。接著,如第18A圖、第18B圖所示,在CESL 162上形成層間介電(interlayer dielectric, ILD)層164。ILD層164的材料可包括由四乙氧基矽烷(tetraethyl orthosilicate, TEOS)所形成的氧化物、未摻雜的矽酸鹽玻璃、或例如下列摻雜的氧化矽:硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、熔融石英玻璃(fused silica glass, FSG)、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、摻硼矽酸鹽玻璃(boron doped silicon glass, BSG)、及/或其他合適的介電材料。ILD層164可通過PECVD製程或其他合適的沉積技術來沉積。在一些實施例中,在形成ILD層164之後,可對半導體裝置結構100進行熱處理以對ILD層164進行退火。
第19A圖及第19B圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的剖面圖。第19A圖係沿著第17A圖的剖面A-A所截取之半導體裝置結構100的剖面圖,並且第19B圖係沿著第12A圖的剖面D-D所截取之半導體裝置結構100的剖面圖。如第19A圖及第19B圖所示,移除犧牲閘極電極層146(第17A圖)及犧牲閘極介電層144(第17A圖),露出披覆層132及半導體層堆疊104的頂表面。可首先通過任何合適的製程例如乾式蝕刻、濕式蝕刻或其組合來移除犧牲閘極電極層146,隨後可通過任何合適的製程來移除犧牲閘極介電層144,例如乾式蝕刻、濕式蝕刻或其組合。在一些實施例中,可使用例如氫氧化四甲銨(tetramethylammonium hydroxide, TMAH)溶液的濕式蝕刻劑來選擇性地移除犧牲閘極電極層146而不移除間隔物154、第一介電部件130、第二介電部件134及CESL 162。
第20A圖及第20B圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的剖面圖。第20C圖及第20D圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C所截取之半導體裝置結構100的俯視圖。如第20A圖至第20D圖所示,移除披覆層132及第二半導體層108。移除製程露出介電間隔物158及第一半導體層106。移除製程可為任何合適的製程,例如乾式蝕刻、濕式蝕刻或其組合。移除製程可為選擇性蝕刻製程,其移除披覆層132及第二半導體層108但不移除第一半導體層106、間隔物154、第一介電部件130、第二介電部件134、CESL 162及ILD層164。在披覆層132及第二半導體層108是由SiGe所製成並且第一半導體層106是由矽所製成的情況下,可使用包括氨及過氧化氫混合物(ammonia and hydrogen peroxide mixtures, APM)的選擇性濕式蝕刻。作為蝕刻製程的結果,形成開口166,留下從第一介電部件130的第一側121及第二側123(與第一側121相對)突出的第一半導體層106(例如,第一半導體層106a、106b)。具體而言,如第20A圖所示,每個第一半導體層106a、106b具有與第一介電層126接觸的第一端及遠離第一端延伸的第二端。可在開口166中露出第一半導體層106未被介電間隔物158所覆蓋的部分。每個第一半導體層106用作奈米結構電晶體或叉片電晶體的奈米結構通道。
第21A圖係根據一些實施例,係製造半導體裝置結構100的各階段之一的剖面圖。第21B圖及第21C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C所截取之半導體裝置結構100的俯視圖。如第21A圖、第21B圖、第21C圖所示,在移除披覆層132及第二半導體層108之後,通過移除製程將第一介電層126及第三介電層136凹蝕。移除製程是經控制的等向性製程,使得設置於第二介電層128上的第一介電層126的露出部分被移除,且設置於第二介電層128及第一半導體層106a之間的第一介電層126的部分以及設置於第二介電層128及第一半導體層106b之間的第一介電層126的部分實質上保持完整(intact)。設置於第二介電層128下方的第一介電層126的剩餘部分可與介電間隔物157(第17A圖)接觸。
在一些實施例中,在第一介電層126的凹蝕期間,同時移除第三介電層136的露出部分。在一些實施例中,作為移除製程的結果,第三介電層136的露出部分被完全移除。第三介電層136設置於絕緣材料118及第四介電層138之間的部分可保持實質上完整。設置於第四介電層138下方的第三介電層136的剩餘部分可與介電間隔物157(第16D圖)接觸。移除製程可為選擇性的,因此除了第一介電層126及第三介電層136之外的材料實質上不受影響。
在任一情況下,第一介電層126的橫向凹蝕或移除增加了第一半導體層106b周圍的閘極電極層182(第22A圖)的表面覆蓋率。具體而言,閘極電極層182朝向第二介電層128延伸並在由第一介電層126及第一半導體層106a之間的界面所定義的平面上方延伸。舉例而言,閘極介電層180(第22A圖)的厚度可小於設置於第一半導體層106及第二介電層128之間的第一介電層126的剩餘部分的厚度。因此,閘極電極層182可在由界面所定義的平面上方延伸。由於閘極電極層182在第一半導體層106周圍提供更大的表面覆蓋,實現了對奈米結構通道(例如,第一半導體層106a、106b)的更好的電流控制並且因此減少了關閉狀態下的漏電流。再者,可包括k值大於7的介電材料的第一介電層126及第三介電層136的凹蝕或移除可減小裝置電容。
如第21B圖、第21C圖所示,移除在開口166中露出的第一介電層126及第三介電層136的部分。因為介電間隔物157包括與第一介電層126及第三介電層136的材料不同的材料,所以介電間隔物157實質上不受用於移除第一介電層126及第三介電層136的部分的蝕刻劑所影響。如果沒有介電間隔物157,隨後形成的閘極電極層182(第22A圖)可能會形成得太靠近S/D磊晶部件160,導致閘極到源極/汲極橋接。因此,通過介電間隔物157,可減少或消除閘極到源極/汲極的橋接。
第22A圖係根據一些實施例,沿著第17A圖的剖面A-A所截取之半導體裝置結構100的剖面圖。第22B圖係根據一些實施例,係沿著第12A圖的剖面D-D所截取之半導體裝置結構100的剖面側視圖。如第22A圖、第22B圖所示,形成界面層(interfacial layer, IL)178以圍繞第一半導體層106(例如,第一半導體層106a、106b)的至少三個表面(除了與第一介電層126及S/D磊晶部件160接觸的表面)。在一些實施例中,IL 178可形成在第一半導體層106上而不是第一介電層126上。在一些實施例中,IL 178也可形成在基板101的基板部分116的露出表面上。IL 178可包括或由含氧材料或含矽材料所製成,例如氧化矽(silicon oxide)、氮氧化矽(silicon oxynitride)、氧化氮(oxynitride)、矽酸鉿(hafnium silicate)等。IL 178可通過CVD、ALD或任何合適的保形沉積技術來形成。在一實施例中,IL 178使用ALD來形成。IL 178的厚度係基於裝置性能的考慮來選擇的。在一些實施例中,IL 178具有範圍從約0.5nm至約2nm的厚度。
接著,在半導體裝置結構100的露出表面上形成閘極介電層180。在一些實施例中,如第22A圖所示,閘極介電層180形成在IL 178、絕緣材料118上以及第一介電部件130及第二介電部件134(例如,第一介電層126及第三介電層136)的露出表面上。閘極介電層180可包括或由下列材料所製成:氧化鉿(hafnium oxide, HfO 2)、矽酸鉿(hafnium silicate, HfSiO) 、氮氧化鉿矽 (hafnium silicon oxynitride, HfSiON)、氧化鉿鋁物(hafnium aluminum oxide, HfAlO)、氧化鉿鑭(hafnium lanthanum oxide, HfLaO)、氧化鉿鋯 (hafnium zirconium oxide, HfZrO)、氧化鉿鉭 (hafnium tantalum oxide, HfTaO)、氧化鉿鈦(hafnium titanium oxide, HfTiO)、氧化鑭(lanthanum oxide, LaO)、氧化鋁(aluminum oxide, Al 2O)、 氧化鋁矽(aluminum silicon oxide, AlSiO)、氧化鋯 (zirconium oxide, ZrO)、氧化鈦 (titanium oxide, TiO)、氧化鉭 (tantalum oxide, Ta 2O 5)、氧化釔 (yttrium oxide, Y 2O 3)、氮氧化矽(silicon oxynitride, SiON)或其他合適的高k材料。在一些實施例中,閘極介電層180可包括或由與犧牲閘極介電層144相同的材料所製成。閘極介電層180可為通過保形製程所形成的保形層,例如ALD製程或CVD製程。閘極介電層180可具有約0.5nm至約3nm的厚度,其可根據應用而變化。如第22A圖所示,閘極介電層180可與第一介電層126、第二介電層128、第三介電層136及第四介電層138接觸。
如第22A圖、第22B圖所示,在形成IL 178及閘極介電層180之後,在開口166(第21A圖)中形成閘極電極層182。閘極電極層182形成在閘極介電層180上以圍繞每個第一半導體層106a、106b的一部分。閘極電極層182可包括一層或多層導電材料,例如多晶矽、鋁(aluminum)、銅(copper)、鈦(titanium)、鉭(tantalum)、鎢(tungsten)、鈷(cobalt)、鉬(molybdenum)、氮化鉭(tantalum nitride)、矽化鎳(nickel silicide)、矽化鈷(cobalt silicide)、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他合適的材料及/或其組合。閘極電極層182可通過PVD、CVD、ALD、電鍍或其他合適的方法來形成。閘極電極層182也可沉積在第一介電部件130及第二介電部件134上方。
可理解的是,半導體裝置結構100可進一步進行互補式金屬氧化物半導體(complementary metal oxide semiconductor, CMOS)、中段(middle-of-line, MOL)及/或後段(back-end-of-line, BEOL)製程以形成各種部件,例如電晶體、接觸件/導孔、內連線金屬層、介電層、鈍化層等。通過翻轉半導體裝置結構100、移除基板並且通過背側接觸件選擇性地將S/D磊晶部件160連接到背側電力軌(例如,正電壓VDD或負電壓VSS),半導體裝置結構100也可包括位於基板101背側上的背側接觸件(未繪示)。
本揭露提供包括叉片電晶體的半導體裝置結構100,其具有對奈米結構通道的改善的閘極控制及減少的閘極到源極/汲極的橋接。通過用介電間隔物157代替設置於間隔物154下方的第一介電層126及第三介電層136的一部分,在移除設置於通道區中部分的第一介電層126及第三介電層136期間,介電間隔物157實質上不受影響。因此,減少了或消除了閘極到源極/汲極的橋接。
一實施例為半導體裝置結構。半導體裝置結構包括:第一源極/汲極磊晶部件;第二源極/汲極磊晶部件,設置鄰近於第一源極/汲極磊晶部件;第一介電層,設置於第一源極/汲極磊晶部件及第二源極/汲極磊晶部件之間;第一介電間隔物,設置於第一介電層下方;及第二介電層,設置於第一介電層下方且接觸第一介電間隔物,其中第二介電層及第一介電間隔物包括不同材料。
在一些實施例中,第一介電層接觸第一源極/汲極磊晶部件及第二源極/汲極磊晶部件。在一些實施例中,半導體裝置結構更包括:第一半導體層,接觸第一源極/汲極磊晶部件;及第二半導體層,接觸第二源極/汲極磊晶部件。在一些實施例中,半導體裝置結構更包括:第三介電層,設置於第一半導體層及第一介電層之間;及第四介電層,設置於第二半導體層及第一介電層之間。在一些實施例中,半導體裝置結構更包括接觸第一介電層的閘極介電層,其中閘極介電層圍繞第一半導體層及第二半導體層的至少三個表面。在一些實施例中,閘極介電層接觸第二介電層。在一些實施例中,半導體裝置結構更包括閘極電極層,設置於閘極介電層上。
另一實施例為半導體裝置結構。半導體裝置結構包括:第一半導體層,具有第一表面;第一介電間隔物,接觸第一半導體層,其中第一介電間隔物具有第二表面;第二介電間隔物,接觸第一介電間隔物,其中第二介電間隔物具有第三表面;間隔物,接觸第二介電間隔物,其中間隔物具有第四表面,其中第一表面、第二表面、第三表面及第四表面實質上共平面;第一源極/汲極磊晶部件,接觸第一半導體層的第一表面;及第一介電層,接觸第二介電間隔物及第一源極/汲極磊晶部件。
在一些實施例中,第一介電層具有第五表面,與第一表面、第二表面、第三表面及第四表面實質上共平面。在一些實施例中,第一介電間隔物及第二介電間隔物包括相同的材料。在一些實施例中,半導體裝置結構更包括設置於第一介電層下方的第二介電層。在一些實施例中,半導體裝置結構更包括設置於第一介電層下方的第三介電層,其中第二介電層接觸第三介電層。在一些實施例中,半導體裝置結構更包括絕緣材料,其中第二介電層及第三介電層設置於第一介電層及絕緣材料之間。在一些實施例中,半導體裝置結構更包括接觸第一介電層的第二源極/汲極磊晶部件。在一些實施例中,半導體裝置結構更包括接觸第二源極/汲極磊晶部件的第二半導體層。在一些實施例中,半導體裝置結構更包括:第四介電層,設置於第一半導體層及第一介電層之間;及第五介電層,設置於第二半導體層及第一介電層之間。
另一實施例是一種方法。方法,包括:從基板形成第一鰭片、第二鰭片及第三鰭片,其中第一鰭片包含第一複數個半導體層,第二鰭片包含第二複數個半導體層,並且第三鰭片包含第三複數個半導體層,且其中第一複數個半導體層、第二複數個半導體層及第三複數個半導體層各自包括多個第一半導體層及多個第二半導體層;形成第一介電部件在第一鰭片及第二鰭片之間,其中第一介電部件具有第一介電層及第二介電層,第二介電層接觸第一介電層的側壁及底部;形成第二介電部件在第二鰭片及第三鰭片之間,其中第二介電部件具有第三介電層及第四介電層,第四介電層接觸第三介電層的側壁及底部;形成犧牲閘極堆疊在第一鰭片、第二鰭片、第三鰭片、第一介電部件及第二介電部件的一部分上,其中露出第一鰭片、第二鰭片、第三鰭片、第一介電部件及第二介電部移除未被犧牲閘極堆疊所覆蓋的第一鰭片、第二鰭片及第三鰭片的露出部分的一部分;移除第二介電層及第四介電層的露出部分;橫向凹蝕第二介電層及第四介電層;形成介電間隔物在第一介電層及第三介電層下方以及在藉由第二介電層及第四介電層的橫向凹蝕所產生的空間中;及移除犧牲閘極堆疊,以露出部分的第一鰭片、第二鰭片及第三鰭片。
在一些實施例中,半導體裝置結構的形成方法,更包括移除第一複數個半導體層、第二複數個半導體層及第三複數個半導體層中的該些第二半導體層。在一些實施例中,導體裝置結構的形成方法,更包括在移除該些第二半導體層之後移除第二介電層及第四介電層的一部分。在一些實施例中,半導體裝置結構的形成方法,更包括形成閘極電極層,以圍繞第一複數個半導體層、第二複數個半導體層及第三複數個半導體層的該些第一半導體層的至少三個表面。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程及結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神及範圍之下,做各式各樣的改變、取代及替換。
100:半導體裝置結構 101:基板 104:半導體疊層 106:第一半導體層 106a:第一半導體層 106b:第一半導體層 107:犧牲層 108第二半導體層 108a:第二半導體層 108b:第二半導體層 110:遮罩結構 112:鰭片 112a:鰭片 112b:鰭片 112c:鰭片 114:溝槽 114a:溝槽 114b:溝槽 114c:溝槽 114d:溝槽 116:基板部分 118:絕緣材料 120:隔離區 121:第一側 123:第二側 126:第一介電層 127:側壁 127a:側壁 127b:側壁 128:第二介電層 129:底部 130:第一介電部件 132:披覆層 133:側壁 133a:側壁 133b:側壁 134:第二介電部件 135:底部 136:第三介電層 138:第四介電層 140:頂表面 142:犧牲閘極堆疊 144:犧牲閘極介電層 146:犧牲閘極電極層 148:遮罩結構 150:含氧層 152:含氮層 154:間隔物 155:外表面 157:介電間隔物 158:介電間隔物 159:外表面 160:S/D磊晶部件 161:外表面 162:接觸蝕刻停止層 163:外表面 164:層間介電層 165:外表面 166:開口 167:外表面 178:界面層 180:閘極介電層 182:閘極電極層 H1:高度 H2:高度 T1:第一厚度 T2:第二厚度 T3:第三厚度 T4:第四厚度 P:平面 W01:寬度 W02:寬度 A-A:線 B-B:線 C-C:線 D-D:線 E-E:線
本揭露的面向從以下詳細描述中配合附圖可最好地被理解。應強調的是,依據業界的標準做法,各種部件並未按照比例繪製且僅用於說明的目的。事實上,為了清楚討論,各種部件的尺寸可任意放大或縮小。 第1圖至第4圖係根據一些實施例,係製造半導體裝置結構的各階段的透視圖。 第5圖至第11圖係根據一些實施例,係沿著第4圖的剖面A-A截取的製造半導體裝置結構的各階段的剖面側視圖。 第12A圖係根據一些實施例,係製造半導體裝置結構的各階段之一的透視圖。 第12B圖及第12C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C截取之半導體裝置結構的俯視圖。 第13A圖係根據一些實施例,係製造半導體裝置結構的各階段之一的透視圖。 第13B圖及第13C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C截取之半導體裝置結構的俯視圖。 第13D圖係根據一些實施例,係沿著第12A圖的剖面D-D截取之半導體裝置結構的剖面側視圖。 第14A圖係根據一些實施例,係製造半導體裝置結構的各階段之一的透視圖。 第14B圖及第14C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C截取之半導體裝置結構的俯視圖。 第14D圖係根據一些實施例,係沿著第12A圖的剖面D-D截取之半導體裝置結構的剖面側視圖。 第15A圖係根據一些實施例,係製造半導體裝置結構的各階段之一的透視圖。 第15B圖及第15C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C截取之半導體裝置結構的俯視圖。 第15D圖及第15E圖係根據一些實施例,係分別沿著第15C圖的剖面D-D及剖面E-E截取之半導體裝置結構的剖面側視圖。 第16A圖係根據一些實施例,係製造半導體裝置結構的各階段之一的透視圖。 第16B圖及第16C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C截取之半導體裝置結構的俯視圖。 第16D圖及第16E圖係根據一些實施例,係分別沿著第15C圖的剖面D-D及剖面E-E截取之半導體裝置結構的剖面側視圖。 第17A圖係根據一些實施例,係製造半導體裝置結構的各階段之一的透視圖。 第17B圖及第17C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C截取之半導體裝置結構的俯視圖。 第18A圖及第18B圖係根據一些實施例,係製造半導體裝置結構的各階段之一的剖面側視圖。 第19A圖及第19B圖係根據一些實施例,係製造半導體裝置結構的各階段之一的剖面側視圖。 第20A圖及第20B圖係根據一些實施例,係製造半導體裝置結構的各階段之一的剖面側視圖。 第20C圖及第20D圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C截取之半導體裝置結構的俯視圖。 第21A圖係根據一些實施例,係製造半導體裝置結構的各階段之一的剖面側視圖。 第21B圖及第21C圖係根據一些實施例,係分別沿著第12A圖的剖面B-B及剖面C-C截取之半導體裝置結構的俯視圖。 第22A圖及第22B圖係根據一些實施例,係製造半導體裝置結構的各階段之一的剖面側視圖。
100:半導體裝置結構
116:基板部分
118:絕緣材料
128:第二介電層
136:第三介電層
138:第四介電層
142:犧牲閘極堆疊
144:犧牲閘極介電層
146:犧牲閘極電極層
148:遮罩結構
150:含氧層
152:含氮層
154:間隔物
157:介電間隔物
160:S/D磊晶部件
A-A:線

Claims (1)

  1. 一種半導體裝置結構,包括: 一第一源極/汲極磊晶部件; 一第二源極/汲極磊晶部件,設置鄰近於該第一源極/汲極磊晶部件; 一第一介電層,設置於該第一源極/汲極磊晶部件及該第二源極/汲極磊晶部件之間; 一第一介電間隔物,設置於該第一介電層下方;及 一第二介電層,設置於該第一介電層下方且接觸該第一介電間隔物,其中該第二介電層及該第一介電間隔物包括不同材料。
TW111108337A 2021-05-06 2022-03-08 半導體裝置結構 TW202245270A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/313,157 US11942478B2 (en) 2021-05-06 2021-05-06 Semiconductor device structure and methods of forming the same
US17/313,157 2021-05-06

Publications (1)

Publication Number Publication Date
TW202245270A true TW202245270A (zh) 2022-11-16

Family

ID=83247135

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111108337A TW202245270A (zh) 2021-05-06 2022-03-08 半導體裝置結構

Country Status (3)

Country Link
US (2) US11942478B2 (zh)
CN (1) CN115084220A (zh)
TW (1) TW202245270A (zh)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
KR102315275B1 (ko) * 2015-10-15 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10354997B2 (en) * 2017-04-28 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device with replacement gates
US11282751B2 (en) * 2018-10-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric fins with different dielectric constants and sizes in different regions of a semiconductor device

Also Published As

Publication number Publication date
US20220359514A1 (en) 2022-11-10
US11942478B2 (en) 2024-03-26
US20230387120A1 (en) 2023-11-30
CN115084220A (zh) 2022-09-20

Similar Documents

Publication Publication Date Title
US11043561B2 (en) Multi-gate device and method of fabrication thereof
TWI766994B (zh) 半導體裝置及其製造方法
TWI737296B (zh) 半導體裝置及其製造方法
US11121036B2 (en) Multi-gate device and related methods
TWI804735B (zh) 半導體裝置及其製造方法
US20220336449A1 (en) Semiconductor device structure and methods of forming the same
US20230290780A1 (en) Semiconductor device structure and methods of forming the same
TWI807386B (zh) 半導體裝置及其製造方法
TW202310062A (zh) 半導體裝置結構
US11942478B2 (en) Semiconductor device structure and methods of forming the same
US11855078B2 (en) Semiconductor device structure including forksheet transistors and methods of forming the same
US11862700B2 (en) Semiconductor device structure including forksheet transistors and methods of forming the same
US20240162227A1 (en) Semiconductor device structure including forksheet transistors and methods of forming the same
US20230395599A1 (en) Semiconductor device structure including forksheet transistors and methods of forming the same
US20230402521A1 (en) Semiconductor device structure and methods of forming the same
US11676864B2 (en) Semiconductor device structure and methods of forming the same
US20230262950A1 (en) Multi-gate device and related methods
US20230197850A1 (en) Semiconductor device structure and methods of forming the same