TW202244987A - 選擇性低溫磊晶沉積處理 - Google Patents

選擇性低溫磊晶沉積處理 Download PDF

Info

Publication number
TW202244987A
TW202244987A TW111102176A TW111102176A TW202244987A TW 202244987 A TW202244987 A TW 202244987A TW 111102176 A TW111102176 A TW 111102176A TW 111102176 A TW111102176 A TW 111102176A TW 202244987 A TW202244987 A TW 202244987A
Authority
TW
Taiwan
Prior art keywords
antimony
containing precursor
layers
layer
silicon
Prior art date
Application number
TW111102176A
Other languages
English (en)
Inventor
吳貞瑩
阿布希雪克 督比
黃奕樵
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202244987A publication Critical patent/TW202244987A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

此處說明一種用於磊晶層的選擇性形成之方法。在方法中,沉積磊晶層以在水平全環繞閘極(hGAA結構)四周形成源極及汲極區域。方法包括共同流動氯化的含矽前驅物、含銻前驅物及n型摻雜前驅物的組合。得到的源極及汲極區域從hGAA結構的結晶奈米片或奈米片更勝於非結晶閘極結構及介電層而選擇性成長。源極及汲極區域在<110>方向中主導的成長。

Description

選擇性低溫磊晶沉積處理
本揭露案的實施例大致關於用於形成半導體元件之方法。更具體而言,本申請案關於用於水平全環繞閘極(hGAA)元件結構之磊晶沉積方法。
隨著電晶體元件的特徵大小持續縮小以達成更大的電路密度及更高的性能,需要改良電晶體元件結構以改良靜電耦合且降低負面效應,例如寄生電容及關閉狀態洩漏。電晶體元件結構的範例包括平面結構、鰭式場效電晶體(FinFET)結構及水平全環繞閘極(hGAA)結構。hGAA元件結構包括在堆疊的配置中懸吊的數個晶格匹配的通道且藉由源極/汲極區域連接。
然而,與hGAA結構相關聯的挑戰包括在低溫下n型通道金屬氧化物半導體(NMOS)源極/汲極區域的形成。在低溫下形成NMOS源極/汲極區域的傳統方式導致前驅物不相容及選擇性損失。傳統方式亦利用分開的蝕刻及沉積步驟,而增加元件生產的成本。然而,增加NMOS源極/汲極區域的形成的溫度可增加整個hGAA結構摻雜物的擴散速率,且需要更長的提高/降低時間。
因此,需要一種在hGAA結構上以較低溫度形成NMOS源極/汲極區域且不具有額外蝕刻操作之方法。
本揭露案大致包括一種用於在半導體結構上形成源極/汲極區域之方法。更具體而言,本揭露案的實施例包括一種形成半導體元件之方法。形成半導體元件之方法包括在一基板上形成一多重材料層,其中該多重材料層包括以一交替圖案安排的複數個結晶的第一層及複數個非結晶第二層。在該基板的該等結晶的第一層上選擇性形成一源極區域及一汲極區域,其中形成的該源極區域及該汲極區域含有大於5x10 20原子/cm 3的一銻濃度。形成該源極區域及該汲極區域之步驟進一步包含以下步驟:流動一氯化的含矽前驅物;與該氯化的含矽前驅物共同流動一含銻前驅物;與該氯化的含矽前驅物及該含銻前驅物共同流動一n型摻雜前驅物;及加熱該基板至小於550°C的一溫度。
在另一實施例中,說明一種半導體元件。半導體元件包括多重材料層。多重材料層包括複數個第一層,包含一結晶的矽材料;及複數個第二層,包含一金屬材料及在該金屬材料的外部表面上的一高k材料。該複數個第二層與該複數個第一層以一交替圖案安排。半導體元件進一步包括一源極區域及一汲極區域。該源極區域及該汲極區域為磊晶層,且包括一矽材料、一銻摻雜物及一n型摻雜物。
仍在另一實施例中,說明一種形成半導體元件之方法。形成半導體元件之方法包括以一主導<110>方向在一基板上選擇性成長一源極區域及一汲極區域。該源極區域及該汲極區域含有大於5x10 20原子/cm 3的一銻濃度。選擇性成長該源極區域及該汲極區域之步驟進一步包括以下步驟:流動一氯化的含矽前驅物至具有該基板的一處理腔室中;與該氯化的含矽前驅物共同流動一含銻前驅物至該處理腔室中;與該氯化的含矽前驅物及該含銻前驅物共同流動一磷摻雜前驅物至該處理腔室中;及在流動該氯化的含矽前驅物、該含銻前驅物及該磷摻雜前驅物期間,加熱該基板至小於550°C的一溫度。
本揭露案大致關於用於形成半導體元件之方法。提供在水平全環繞閘極(hGAA)元件結構之中磊晶沉積n型通道金屬氧化物半導體(NMOS)源極/汲極區域之方法。方法在小於約550°C的溫度下實行。方法包括使用氯化的含矽前驅物、含銻前驅物及含磷前驅物。
利用氯化的含矽前驅物以隨著磊晶層的形成連續蝕刻磊晶層,且隨著磊晶層沉積至超晶格結構上改進磊晶層的選擇性。磊晶層僅在超晶格結構的結晶部分上形成,且不會在氧化或非結晶表面上。含銻前驅物降低磊晶層沉積的溫度且增加在超晶格結構的結晶部分上磊晶層的成長速率。含磷前驅物以磷摻雜磊晶層,且使其能夠更佳黏著至超晶格結構的結晶部分。
已顯示關於超晶格結構的暴露的結晶表面的磊晶層的成長速率與在磊晶層中添加不同濃度的銻一起改變。在此處所述的實施例中,在磊晶層中的銻的濃度為大於約5x10 20原子/cm 3,且成長為主要在<110>方向。銻的濃度已顯示造成在<110>方向中主導的結晶成長。在<110>方向中主導的結晶成長減少在超晶格結構上磊晶層的刻面。用於在<111>方向中成長速率的先前方法歸因於刻面而成長受限。
第1圖根據一個實施例,圖示水平全環繞閘極(hGAA)結構100的概要等距視圖。hGAA結構100包括多重材料層105,具有交替的第一層106及第二層108而具有間隔件110形成於其中在hGAA結構100中利用。hGAA結構100利用多重材料層105作為源極114a及汲極114b及閘極結構112之間的奈米線(例如,通道)。如第1圖中多重材料層105的剖面視圖中所顯示,形成於第二層108之各者的例如底部或端處的奈米線間隔件110幫助管理在第二層108及源極/汲極114a、114b之間的界面,以便減少寄生電容且維持最小元件洩漏,
hGAA結構100包括多重材料層105佈置於基板102的頂部表面103上,例如在佈置於基板102上的可選材料層104的頂部上。在其中不存在可選材料層104的實施例中,多重材料層105直接形成於基板102上。
基板102可為例如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、鍺、摻雜的或未摻雜的多晶矽、摻雜的或未摻雜的矽晶圓及圖案化或未圖案化的晶圓絕緣體上矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜的矽、鍺、砷化鎵、玻璃或藍寶石的材料。基板102可具有各種尺寸,例如200 mm、300 mm、450 mm或其他直徑,且可為矩形或方形面板。除非另外說明,此處所述的範例在具有200 mm直徑、300 mm直徑或450 mm直徑的基板上進行。
在一個範例中,可選材料層102為絕緣材料。絕緣材料的適合的範例可包括氧化矽材料、氮化矽材料、氮氧化矽材料或任何適合的絕緣材料。或者,可選材料層104可為任何適合的材料,包括如所需的導電材料或非導電材料。多重材料層105包括至少一對層,各個配對包含第一層106及第二層108。儘管第1圖中描繪的範例顯示四對及第一層106覆蓋,但各個配對包括第一層106及第二層108(交替配對,各個配對包含第一層106及第二層108)。額外的第一層106佈置作為多重材料層105的頂部。配對的數量可基於不同處理的需求而變化,而需要或不需要更多的第一層106或第二層108。在一個實例中,各個單一第一層106的厚度可在約20 Å及約200 Å之間,例如50 Å,且各個單一第二層108的厚度可在約20 Å及約200 Å之間,例如50 Å。多重材料層105可具有介於約10 Å及約5000 Å之間的總厚度,例如介於約40 Å及約4000 Å。
第一層106之各者為結晶層,例如單一結晶、多結晶、單晶矽層。第一層106使用磊晶沉積處理形成。或者,第一層106為摻雜的矽層,包括p型摻雜的矽層或n型摻雜的層。適合的p型摻雜物包括B摻雜物、Al摻雜物、Ga摻雜物、In摻雜物或類似者。適合的n型摻雜物包括N摻雜物、P摻雜物、As摻雜物、Sb摻雜物或類似者。仍在另一範例中,第一層106為III-V族材料,例如GaAs層。
第二層108為非結晶材料層。在某些實施例中,第二層108為含Ge層,例如SiGe層、Ge層、或其他適合的層。或者,第二層108為摻雜的矽層,包括p型摻雜的矽層或n型摻雜的層。仍在另一範例中,第二層108為III-V族材料,例如GaAs層。仍在另一範例中,第一層106為矽層且第二層108為金屬材料,具有高k材料塗佈在金屬材料的外部表面上。高k材料的適合的範例包括二氧化鉿(HfO 2)、二氧化鋯(ZrO 2)、矽酸鉿氧化物(HfSiO 4)、鉿鋁氧化物(HfAlO)、矽酸鋯氧化物(ZrSiO 4)、二氧化鉭(TaO 2)、氧化鋁、鋁摻雜的二氧化鉿、鉍鍶鈦(BST)或鉑鋯鈦(PZT)等等。在一個特定實例中,塗佈層為二氧化鉿(HfO 2)層。在某些實施例中,第二層108為類似於閘極結構112的材料,以形成在第一層106四周的環繞閘極。
間隔件110之各者形成於鄰接第二層108的端,且可考慮為第二層108的部分。間隔件110為介電間隔件或空氣間隙。間隔件110可藉由使用蝕刻前驅物蝕刻掉第二層108之各者的部分而形成,以在第二層108之各者的端處形成凹陷。間隔件110形成於鄰接第二層108之各者的凹陷中。襯墊層(未顯示)在沉積間隔件110之前可額外地沉積於凹陷之中。間隔件110以介電材料形成,且將以第一層106形成的奈米線或奈米片之各者分開。在某些實施例中,間隔件110選擇為含矽材料,而可減少在hGAA奈米線中閘極及源極/汲極結構之間的寄生電容,例如低K材料。含矽材料或低K材料可為氮化矽、氧化矽、氮氧化矽、碳化矽、碳氧化矽、碳氮化矽、摻雜的矽層或其他適合的材料,例如從應用材料公司可取得的Black Diamond®材料。
在一個實施例中,間隔件110為低k材料(例如,介電常數小於4)或含氧化矽/氮化矽/碳化矽的材料。仍在其他實施例中,間隔件110為空氣間隙。
閘極結構112佈置於多重材料層105上或四周。根據一個實施例,閘極結構112包括閘極電極層,且可額外包括閘極介電層、閘極間隔件及遮罩層。閘極結構112的閘極電極層包括多晶矽層或以多晶矽層覆蓋的金屬層。閘極電極層可包括金屬氮化物(例如,氮化鈦(TiN)、氮化鉭(TaN)或氮化鉬(MoN x))、金屬碳化物(例如碳化鉭(TaC) 或碳化鉿(HfC))、金屬氮碳化物(例如TaCN)、金屬氧化物(例如氧化鉬(MoO x))、金屬氮氧化物(例如氧氮化鉬(MoO xN y))、金屬矽化物(例如矽化鎳)或其組合。閘極電極層佈置於多重材料層105的頂部上及四周。
閘極介電層可選地可佈置於閘極電極層下方及多重材料層105下方。可選的閘極介電層可包括矽氧化物(SiO x),而可藉由熱氧化第一層106或及/或第二層108之一或更多者而形成,或藉由任何適合的沉積處理形成。用於形成閘極介電層的適合的材料包括氧化矽、氮化矽、氮氧化物、金屬氧化物,例如氧化鉿(HfO 2)、氧化鉿鋯(HfZrO x)、氧化鉿矽(HfSiO x)、氧化鉿鈦(HfTiO x)、氧化鉿氧化鋁(HfAlO x)、及其組合及多層。閘極間隔件形成於閘極電極層的側壁上。各個閘極間隔件包括氮化物部分及/或氧化物部分。遮罩層形成於閘極電極層的頂部上,且可包括氮化矽。
此處說明在hGAA結構100上的銻摻雜的源極/汲極區域114a、114b的成分及形成。
第2A-2C圖根據一個實施例,圖示第1圖的hGAA結構100的形成的概要剖面視圖。hGAA結構100使用第3圖的方法300形成。此處所述的hGAA結構100為n通道金屬氧化物半導體(NMOS)元件。因此,在hGAA結構100之中的摻雜物為n型摻雜物,例如磷、砷、銻或以上之任意組合。根據一個實施例,摻雜物包括磷(P)。
關於第1圖所述的多重材料層105及閘極結構112在第一操作302期間形成於基板102及可選材料層104上。在第一操作302之後,hGAA結構100類似於第2A圖中的結構。多重材料層105及閘極結構112的組合此處可說明為膜堆疊。在第一操作期間,使用複數個沉積操作形成多重材料層105,以形成複數個交替的第一層106及第二層108。蝕刻返回第二層108的部分,且形成間隔件110。
閘極結構112在多重材料層105四周形成。在某些實施例中,閘極結構112的閘極電極層為類似於在多重材料層105之中第二層108之各者的材料的材料。閘極結構112及第二層108在第一層106之各者四周形成環繞閘極。第一層106充當作為佈置於環繞閘極之中的奈米線或奈米片。在形成源極/汲極區域之後,第一層106供以作為源極/汲極區域之間的通道。
在第一操作302期間形成膜堆疊之後,如第2B圖中所顯示於第二操作304期間形成銻摻雜的源極/汲極區域114a、114b。在第二操作302期間,沉積氣體混合物引入處理腔室中以沉積銻摻雜的源極/汲極區域114a、114b。如第2B圖中所顯示,銻摻雜的源極/汲極區域114a、114b沉積於多重材料層105之中的基板102及第一層106之各者上。銻摻雜的源極/汲極區域114a、114b具有從約1 nm至約10 nm的範圍的厚度。銻摻雜的源極/汲極區域114a、114b藉由磊晶沉積處理而沉積,例如在磊晶沉積腔室之中的選擇性磊晶沉積處理。在此處所顯示的實施例中,銻摻雜的源極/汲極區域114a、114b沉積在以結晶材料(例如Si)製成的第一層106上及基板102的暴露的部分上,且銻摻雜的源極/汲極區域114a、114b並非沉積在以介電材料製成的閘極結構112或間隔件110上。沉積處理可在從約1 torr至約600 torr的範圍的腔室壓力下實行,例如從約200 torr至約300 torr,且在小於約550°C的沉積溫度(基板的溫度)下實行,例如小於約500°C,例如小於約450°C。
氯化的矽前驅物及含銻(Sb)前驅物共同流動至處理腔室中。氯化的矽前驅物包括具有矽及氯兩者的前驅物,例如二氯矽烷(SiCl 2H 2)(DCS)、三氯矽烷(SiCl 3H)(TCS)或其任意混合。在某些實施例中,使用DCS及TCS的混合。DCS及TCS的混合包括以約1:10至約10:1的比例的DCS對TCS的混合。在某些實施例中,當DCS存在時TCS已顯示僅成長銻摻雜的源極/汲極區域114a、114b,且當DCS並未一起共同流動時不會形成銻摻雜的源極/汲極區域114a、114b或以顯著減少的速率形成銻摻雜的源極/汲極區域114a、114b。DCS已顯示增加銻摻雜的源極/汲極區域114a、114b的成長速率。在某些實施例中,可具有其他適合的氯化的含矽前驅物。氯化的矽前驅物能夠成長銻摻雜的源極/汲極區域114a、114b。隨著銻摻雜的源極/汲極區域114a、114b的成長,並未實行蝕刻返回操作。在氯化的矽前驅物之中的氯已顯示為改進磊晶層的結晶成長而並無額外蝕刻返回處理。氯化的含矽前驅物可具有從約1 sccm至約1000 sccm的範圍的流動速率,例如1 sccm至約500 sccm,或10 sccm至約1000 sccm。在此處所述的實施例中,DCS或TCS之各者的流動速率具有從約1 sccm至約1000 sccm的範圍的流動速率,例如1 sccm至約500 sccm,或10 sccm至約1000 sccm。
含銻前驅物包括以下一者或組合:䏲(SbH 3)、三氯化銻(SbCl 3)、四氯化銻(SbCl 4)、五氯化銻(SbCl 5)、三苯基銻((C 6H 5) 3Sb)、三氫化銻(SbH 3)、三氧化二銻(Sb 2O 3)、五氧化二銻(Sb 2O 5)、三氟化銻(SbF 3)、三溴化銻(SbBr 3)、三碘化銻(Sbl 3)、五氟化銻(SbF 5)、三乙基銻(C 6H 15Sb)(TESb)及三甲基銻(TMSb)。在此處所述的實施例中,利用TESb。含銻前驅物可具有從約0.1 sccm至約100 sccm的範圍的流動速率。在某些實施例中,例如氮氣(N 2)或氫氣(H 2)的載氣可與氯化的含矽前驅物及含砷前驅物一起流動。在此處所述的操作中,並無額外的蝕刻器與含半導體前驅物及含銻前驅物一起流動以實行選擇性蝕刻返回。
在銻摻雜的源極/汲極區域114a、114b中過多點缺陷的量可藉由改變處理條件而控制,例如前驅物的分壓,前驅物的比例,處理溫度及/或層厚度。在銻摻雜的源極/汲極區域114a、114b中過多點缺陷的量可控制Sb原子擴散至多重材料層105的第一層106中。在銻摻雜的源極/汲極區域114a、114b的沉積期間,Sb原子可擴散至多重材料層105的第一層106中。使用含P前驅物將P摻雜物添加至銻摻雜的源極/汲極區域114a、114b。含P前驅物同時流動至氯化的含矽前驅物及含銻前驅物兩者。銻摻雜的源極/汲極區域114a、114b的電阻為約0.8 mΩ·cm,同時P摻雜的銻摻雜的半導體層的電阻進一步減少至約0.5 mΩ·cm至約0.6 mΩ·cm。在此處所述的範例中,含P前驅物為膦(PH 3)。
氯化的含矽前驅物、含砷前驅物及含P前驅物之各者同時共同流動至處理腔室中。共同流動氯化的含矽前驅物、含砷前驅物及含P前驅物之各者改進銻摻雜的源極/汲極區域114a、114b的導電性且使得沉積溫度能夠為小於550°C。在某些實施例中,含P前驅物為通用的n型摻雜物前驅物。在此處所述的實施例中,流動至處理腔室中的氯化的含矽前驅物對含砷前驅物對含P前驅物的比例為約5:1:5至約20:1:20。如此處所述,氯化的含矽前驅物對含砷前驅物對含P前驅物的比例可為DCS及TCS對TESb對PH 3的比例。
沉積的銻摻雜的源極/汲極區域114a、114b具有大於約5x10 20原子/cm 3的銻濃度,例如大於約1x10 21原子/cm 3,例如大於約2x10 21原子/cm 3。在沉積的銻摻雜的源極/汲極區域114a、114b之中磷摻雜物濃度為約1x10 20原子/cm 3至約5x10 21原子/cm 3。銻摻雜的源極/汲極區域114a、114b的低溫沉積進一步降低銻至多重材料層105及基板的其他部分中的遷移,因為銻擴散可造成元件性能的降級。
在銻摻雜的源極/汲極區域114a、114b之中銻摻雜物的濃度改變銻摻雜的源極/汲極區域114a、114b的成長速率。已發現在銻摻雜物的較低濃度下或在不具有銻摻雜物的共同流動的實施例中,於小於550°C的溫度下的銻摻雜的源極/汲極區域114a、114b的沉積速率大幅減少。在某些實施例中,在銻摻雜的源極/汲極區域114a、114b之中銻的濃度已發現增加沉積速率,相較於不具有任何含銻前驅物的處理具有超過兩倍的成長速率。在某些實施例中,銻摻雜的源極/汲極區域114a、114b的成長速率在不具有含銻前驅物及氯化的含矽前驅物兩者的同時共同流動下,於小於550°C的溫度下在基板的結晶及非結晶地點兩者上為接近零。在含銻前驅物之中的銻充當以降低第一層106的表面活化能量,使得形成銻摻雜的源極/汲極區域114a、114b。銻摻雜的源極/汲極區域114a、114b的成長速率對結晶結構為高度選擇性的,使得在第一層106上銻摻雜的源極/汲極區域114a、114b的成長速率大於約100x的在間隔件110及閘極結構112上銻摻雜的源極/汲極區域114a、114b的成長速率,例如大於約150x的成長速率。在某些實施例中,銻摻雜的源極/汲極區域114a、114b的成長速率為約10埃/分鐘至約20埃/分鐘。
在此處所述的處理期間,銻摻雜的源極/汲極區域114a、114b的成長速率主要沿著<110>方向,使得沿著<110>方向的銻摻雜的源極/汲極區域114a、114b的成長速率大於50%更高於在<100>或<111>方向中任一者的成長速率,例如大於100%更高於在<100>或<111>方向中任一者的成長速率。在<110>方向中相較於<100>或<111>方向的高的成長速率減少銻摻雜的源極/汲極區域114a、114b的刻面,且允許銻摻雜的源極/汲極區域114a、114b從第一層106的表面的連續成長。
銻摻雜的源極/汲極區域114a、114b的選擇性沉積及銻摻雜的源極/汲極區域114a、114b的方向性成長速率在間隔件110及銻摻雜的源極/汲極區域114a、114b之間形成間隙111。間隙111為空氣間隙,且將間隔件110及銻摻雜的源極/汲極區域114a、114b分開,以進一步從源極/汲極116a、116b隔絕間隔件110。在第一層106及銻摻雜的源極/汲極區域114a、114b之間的接觸電阻為約0.3 mΩ-·cm 2至約3 mΩ-·cm 2
在某些實施例中,於第一處理腔室中沉積銻摻雜的源極/汲極區域114a、114b,且在第二處理腔室中以P實行銻摻雜的源極/汲極區域114a、114b的摻雜。仍在其他實施例中,銻摻雜的源極/汲極區域114a、114b的形成及銻摻雜的源極/汲極區域114a、114b的摻雜在一個腔室中實行。
在第二操作304之後,實行熱處置hGAA結構100的第三操作306。hGAA結構的熱處置為尖波退火處理。尖波退火處理在約900°C至約1200°C的溫度下實行約1秒至約30秒的時間。歸因於Sb原子的大尺寸,Sb原子不會以P摻雜物相同的速率擴散。尖波退火的短時段因此抑制Sb原子的擴散,同時允許某些P摻雜物擴散至第一層106中以形成如第2C圖中所顯示多重材料層105的第一層106的摻雜的區域120。
隨著第二及第三操作的溫度保持低於約550°C,降低摻雜物的擴散及多重材料層105的翹曲。
在銻摻雜的源極/汲極區域114a、114b形成之後,可選地在hGAA結構100上沉積覆蓋層(未顯示)。覆蓋層為含矽層,且沉積於銻摻雜的源極/汲極區域114a、114b及間隔件110之各者的頂部上,使得覆蓋層填充間隙111。
儘管以上導向本揭露案的實施例,可衍生本揭露案的其他及進一步實施例而不會悖離其基本範疇,且其範疇藉由以下請求項來決定。
100:hGAA結構 102:基板 103:頂部表面 104:可選材料層 105:多重材料層 106:第一層 108:第二層 110:間隔件 111:間隙 112:閘極結構 120:摻雜的區域 300:方法 302:第一操作 304:第二操作 306:第三操作 114a:源極區域 114b:汲極區域
以此方式可詳細理解本揭露案以上所載之特徵,以上簡要概述的本揭露案的更特定說明可藉由參考實施例而獲得,某些實施例圖示於隨附圖式中。然而,應理解隨附圖式僅圖示範例實施例,且因此不應考量為其範疇之限制,可認可其他均等效果的實施例。
第1圖根據一個實施例,圖示hGAA結構的概要等距視圖。
第2A-2C圖根據一個實施例,圖示第1圖的hGAA結構的概要剖面視圖。
第3圖根據一個實施例,圖示形成第1及2A-2C圖的hGAA結構之方法。
為了促進理解,已盡可能地使用相同的元件符號代表共通圖式中相同的元件。應考量一個實施例的元件及特徵可有益地併入其他實施例而無須進一步說明。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:hGAA結構
102:基板
103:頂部表面
104:可選材料層
105:多重材料層
106:第一層
108:第二層
110:間隔件
111:間隙
112:閘極結構
114a:源極區域
114b:汲極區域

Claims (20)

  1. 一種形成一半導體元件之方法,包含以下步驟: 在一基板上形成一多重材料層,其中該多重材料層包括以一交替圖案安排的複數個結晶的第一層及複數個非結晶第二層; 在該基板的該等結晶的第一層上選擇性形成一源極區域及一汲極區域,其中形成的該源極區域及該汲極區域含有大於5x10 20原子/cm 3的一銻濃度,形成該源極區域及該汲極區域之步驟進一步包含以下步驟: 流動一氯化的含矽前驅物; 與該氯化的含矽前驅物共同流動一含銻前驅物; 與該氯化的含矽前驅物及該含銻前驅物共同流動一n型摻雜前驅物;及 加熱該基板至小於550°C的一溫度。
  2. 如請求項1所述之方法,其中該n型摻雜前驅物為一含磷前驅物。
  3. 如請求項1所述之方法,其中在該源極區域及該汲極區域之中的該銻濃度為大於2x10 21原子/cm 3
  4. 如請求項1所述之方法,其中在該等結晶的第一層上該源極區域及該汲極區域的成長速率大於在該等非結晶第二層上的成長速率的50倍。
  5. 如請求項4所述之方法,其中該等非結晶第二層進一步包含佈置於其外部部分上的介電間隔件。
  6. 如請求項5所述之方法,其中在該源極區域及該汲極區域的選擇性形成期間,鄰接該等非結晶第二層形成複數個間隙。
  7. 如請求項1所述之方法,其中該氯化的含矽前驅物為二氯矽烷及三氯矽烷之一者或組合。
  8. 如請求項7所述之方法,其中該含銻前驅物為以下一者或組合:䏲、三氯化銻、四氯化銻、五氯化銻、三苯基銻、三氫化銻、三氧化二銻、五氧化二銻、三氟化銻、三溴化銻、三碘化銻、五氟化銻、三乙基銻及三甲基銻。
  9. 如請求項8所述之方法,其中該含銻前驅物為三乙基銻。
  10. 一種半導體元件,包含: 一多重材料層,包含: 複數個第一層,包含一結晶的矽材料;及 複數個第二層,包含一金屬材料及在該金屬材料的外部表面上的一高k材料,該複數個第二層與該複數個第一層以一交替圖案安排; 一源極區域;及 一汲極區域,其中該源極區域及該汲極區域為磊晶層,且包括一矽材料、一銻摻雜物及一n型摻雜物。
  11. 如請求項10所述之半導體元件,其中該n型摻雜物為以下一者或組合:氮、磷、砷或銻。
  12. 如請求項11所述之半導體元件,其中該n型摻雜物為磷。
  13. 如請求項10所述之半導體元件,其中該銻摻雜物具有大於5x10 20原子/cm 3的一濃度。
  14. 如請求項10所述之半導體元件,其中該等第二層之各者為一閘極結構的一部分。
  15. 如請求項10所述之半導體元件,其中一介電間隔件形成於該等第二層的該等外部端上。
  16. 如請求項10所述之半導體元件,其中該複數個第一層形成奈米片或奈米線。
  17. 一種形成一半導體元件之方法,包含以下步驟: 以一主導<110>方向在一基板上選擇性成長一源極區域及一汲極區域,其中該源極區域及該汲極區域含有大於5x10 20原子/cm 3的一銻濃度,選擇性成長該源極區域及該汲極區域之步驟進一步包含以下步驟: 流動一氯化的含矽前驅物至具有該基板的一處理腔室中; 與該氯化的含矽前驅物共同流動一含銻前驅物至該處理腔室中; 與該氯化的含矽前驅物及該含銻前驅物共同流動一磷摻雜前驅物至該處理腔室中;及 在流動該氯化的含矽前驅物、該含銻前驅物及該磷摻雜前驅物期間,加熱該基板至小於550°C的一溫度。
  18. 如請求項17所述之方法,其中一多重材料層佈置於一基板上,且該多重材料層包括以一交替圖案安排的複數個結晶的第一層及複數個非結晶第二層,該源極區域及該汲極區域在該等結晶的第一層上選擇性成長。
  19. 如請求項17所述之方法,其中該氯化的含矽前驅物為二氯矽烷及三氯矽烷之一者或組合,且該含銻前驅物為三乙基銻。
  20. 如請求項17所述之方法,其中該源極區域及該汲極區域的成長速率為10埃/分鐘至20埃/分鐘。
TW111102176A 2021-01-28 2022-01-19 選擇性低溫磊晶沉積處理 TW202244987A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163142790P 2021-01-28 2021-01-28
US63/142,790 2021-01-28
US17/231,087 2021-04-15
US17/231,087 US11843033B2 (en) 2021-01-28 2021-04-15 Selective low temperature epitaxial deposition process

Publications (1)

Publication Number Publication Date
TW202244987A true TW202244987A (zh) 2022-11-16

Family

ID=82495837

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111102176A TW202244987A (zh) 2021-01-28 2022-01-19 選擇性低溫磊晶沉積處理

Country Status (5)

Country Link
US (2) US11843033B2 (zh)
KR (1) KR20230025011A (zh)
CN (1) CN116261770A (zh)
TW (1) TW202244987A (zh)
WO (1) WO2022164566A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024091478A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Surface modifiers for enhanced epitaxial nucleation and wetting
US20240145240A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Low temperature co-flow epitaxial deposition process

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8373233B2 (en) * 2008-11-13 2013-02-12 Applied Materials, Inc. Highly N-type and P-type co-doping silicon for strain silicon application
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
DE112013006642T5 (de) 2013-03-14 2015-11-05 Intel Corporation Leckageverringerungsstrukturen für Nanodraht-Transistoren
US20160240623A1 (en) * 2015-02-13 2016-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (vgaa) devices and methods of manufacturing the same
US9647139B2 (en) 2015-09-04 2017-05-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer
CN108369957B (zh) * 2015-12-24 2022-03-01 英特尔公司 形成用于纳米线设备结构的自对准垫片的方法
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US10074730B2 (en) 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
KR102384818B1 (ko) 2016-04-25 2022-04-08 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10002759B2 (en) 2016-07-26 2018-06-19 Applied Materials, Inc. Method of forming structures with V shaped bottom on silicon substrate
US10205002B2 (en) 2016-07-26 2019-02-12 Applied Materials, Inc. Method of epitaxial growth shape control for CMOS applications
US10177227B1 (en) 2017-08-28 2019-01-08 Applied Materials, Inc. Method for fabricating junctions and spacers for horizontal gate all around devices
US10510620B1 (en) * 2018-07-27 2019-12-17 GlobalFoundries, Inc. Work function metal patterning for N-P space between active nanostructures
US11195914B2 (en) 2019-07-26 2021-12-07 Applied Materials, Inc. Transistor and method for forming a transistor
US11398550B2 (en) * 2020-06-15 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with facet S/D feature and methods of forming the same
US11289586B2 (en) * 2020-08-11 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device

Also Published As

Publication number Publication date
US20240153998A1 (en) 2024-05-09
US11843033B2 (en) 2023-12-12
KR20230025011A (ko) 2023-02-21
US20220238650A1 (en) 2022-07-28
CN116261770A (zh) 2023-06-13
WO2022164566A1 (en) 2022-08-04

Similar Documents

Publication Publication Date Title
US20220173220A1 (en) Horizontal gate-all-around device nanowire air gap spacer formation
US11888046B2 (en) Epitaxial fin structures of finFET having an epitaxial buffer region and an epitaxial capping region
TWI689971B (zh) 使用n型摻雜的選擇性磊晶生長以在n型金氧半導體鰭式電晶體中形成非直視性的源極汲極延伸部分
US9231108B2 (en) Source and drain doping profile control employing carbon-doped semiconductor material
US10522421B2 (en) Nanosheet substrate isolated source/drain epitaxy by nitrogen implantation
US9761728B1 (en) Self-aligned source/drain junction for vertical field-effect transistor (FET) and method of forming the same
CN102983165B (zh) 控制沟道厚度的FinFET设计
US20170352762A1 (en) Methods for reducing contact resistance in semiconductor manufacturing process
US10176990B2 (en) SiGe FinFET with improved junction doping control
US10410929B2 (en) Multiple gate length device with self-aligned top junction
US10872953B2 (en) Nanosheet substrate isolated source/drain epitaxy by counter-doped bottom epitaxy
CN105489652A (zh) 半导体器件及其制造方法
US20240153998A1 (en) Selective low temperature epitaxial deposition process
US9793400B2 (en) Semiconductor device including dual-layer source/drain region
US11195914B2 (en) Transistor and method for forming a transistor
US20230377979A1 (en) Embedded stressors in epitaxy source/drain regions
US20220384569A1 (en) Epitaxy Regions Extending Below STI Regions and Profiles Thereof
US20240145240A1 (en) Low temperature co-flow epitaxial deposition process
CN106158632B (zh) 半导体结构及其形成方法
TW202318664A (zh) 用於環繞式閘極電晶體的各向異性sige:b磊晶膜生長
CN104282558A (zh) 一种无结纳米线FinFET及其制作方法