TW202240282A - 圖案化製程 - Google Patents

圖案化製程 Download PDF

Info

Publication number
TW202240282A
TW202240282A TW110134649A TW110134649A TW202240282A TW 202240282 A TW202240282 A TW 202240282A TW 110134649 A TW110134649 A TW 110134649A TW 110134649 A TW110134649 A TW 110134649A TW 202240282 A TW202240282 A TW 202240282A
Authority
TW
Taiwan
Prior art keywords
layer
photoresist
patterning process
target area
feature
Prior art date
Application number
TW110134649A
Other languages
English (en)
Inventor
高國璋
王文昀
劉家助
林華泰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202240282A publication Critical patent/TW202240282A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一種圖案化製程在半導體晶圓上進行,半導體晶圓塗布有底層、中間層、及具有起始厚度之光阻層。圖案化製程包含:進行曝光步驟,曝光步驟包含使用光罩曝光半導體晶圓,光罩包含特徵,此特徵在目標區域中產生中度曝光,隨後處理而依照光罩在光阻層中產生複數個開口,以及由於目標區域中之中度曝光而薄化目標區域中之光阻,以在目標區域中留下薄化之光阻;進行中間層蝕刻,以在中間層中形成複數個開口對齊光阻層中之開口,其中因薄化之光阻所提供之保護,中間層蝕刻不會去除目標區域中之中間層;以及進行修整蝕刻,以修整目標區域中之中間層。

Description

雙重關鍵尺寸圖案化
以下係有關於半導體製造技術、半導體微影技術、具有具至少兩個不同關鍵尺寸之特徵之半導體元件的微影製造、與如此製造的此類半導體元件、以及相關技術。
以下的揭露提供了許多不同實施方式或例子,以實施所提供之標的之不同特徵。以下所描述之組件與安排的特定例子係用以簡化本揭露。當然這些僅為例子,並非用以作為限制。舉例而言,於描述中,第一特徵形成於第二特徵之上方或之上,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,亦可能包含額外特徵可能形成在第一特徵與第二特徵之間的實施方式,如此第一特徵與第二特徵可能不會直接接觸。此外,本揭露可能會在各例子中重複參考數字及/或文字。這樣的重複係基於簡化與清楚之目的,以其本身而言並非用以指定所討論之各實施方式及/或配置之間的關係。
另外,本文可能會使用空間相對用語,例如「在下(beneath)」、「下方(below)」、「較低(lower)」、「上方(above)」、「較高(upper)」、與類似用語,以方便說明如圖式所繪示之一構件或一特徵與另一(另一些)構件或特徵之間的關係。除了在圖中所繪示之方位外,這些空間相對用詞意欲含括元件在使用或操作中的不同方位。設備可能以不同方式定位(旋轉90度或在其他方位上),因此可以同樣的方式來解釋本文所使用之空間相對描述符號。
一般而言,本文所揭露之一些實施方式關於用於製造具有相同間距之具有至少兩種不同目標關鍵尺寸(CD)之特徵的半導體元件或結構的微影製程,在一些實施方式中使用單一光罩及/或曝光。在一些實施方式中,從包含在光罩中及/或光罩上之次解析輔助特徵(SRAF),例如像是光罩散射條或相移光罩(PSM)中之局部相移的設計區域,的洩漏光及/或輻射產生作用,而在半導體結構上之光阻層產生有效曝光,使得當光阻層顯影時,光阻層在選定之位置變薄,但未完全溶解及/或以其他方式移除,同時在光阻層中仍保留所需的關鍵尺寸。因此,次解析輔助特徵為非印刷特徵,但在指定用於具有較小關鍵尺寸之特徵的區域中提供光阻的薄化,以利使用本文所揭露之單一光罩來製造具有較小關鍵尺寸之特徵。在光阻層的這個變薄區域保持較大的關鍵尺寸有助於防止剝離的可能性。此外,單一光罩及/或曝光的使用有助於降低及/或限制生產成本及/或製程週期時間。
在一些實施方式中,在一或多個後續蝕刻製程中,將於顯影期間在光阻已經薄化之位置處的光阻下方之一層中的特徵修整至目標關鍵尺寸,此目標關鍵尺寸小於形成在顯影期間光阻未經薄化之位置下方之特徵的目標關鍵尺寸。
依照本揭露之一些實施方式,並參照第1A圖與第1B圖,其分別示出了具有例如定義積體電路(IC)等之設計或圖案104之光罩(也稱為遮罩或倍縮光罩)100的側視圖與上視圖。在一些實施方式中,安排設計或圖案104,使得光罩100可用於使用微影製程在半導體結構或晶圓上形成一或多種特徵(例如,互連結構、金屬線、電晶體、主動元件、及/或其他電路組件、或其部分等)。如圖所示,光罩100包含光罩基板102與形成於其上的設計或圖案104。實務上,應當理解的是,光罩100與所包含之設計或圖案104實際上可為一個更大且更複雜之光罩(未示出)的一部分。適當地,可利用光罩100在微影圖案化製程期間圖案化一或多層之半導體結構或晶圓。
如上所述,光罩100包含光罩基板102與形成於其上之設計或圖案104。在一些實施方式中,舉例而言,當微影技術使用紫外(UV)或深紫外(DUV)光及/或輻射來圖案化晶圓上之特徵時,光罩基板102可由透明材料,例如像是熔融之石英所形成。在一些實施方式中,光罩基板102上之設計或圖案104可由適當的不透明材料,例如像是鉻(Cr)所形成。
在一些實施方式中,極紫外(EUV)光及/或輻射可用於微影製程中,來圖案化晶圓上的特徵。在這樣的實施方式中,光罩100可為反射式光罩,此反射式光罩包含由低熱膨脹材料(LTEM)形成之光罩基板102。在一些實施方式中,反射多層(ML)沉積在基板102上,且吸收層沉積在反射多層上及/或以其他方式形成,以定義出設計或圖案104。實務上,應當理解的是,其他配置與包含或省略各種項目也是可能的。舉例而言,可在反射多層與吸收層之間形成覆蓋層。在另一例子中,可在吸收層上形成保護層。在又一些替代實施方式中,光罩100可為相移光罩(PSM),例如衰減式相移光罩或交替式相移光罩,以提高成像解析度。
在一些實施方式中,如第1A圖與第1B圖所示,設計或圖案104包含定義在其中的二個特徵104a與104b。適當地,特徵104a與104b彼此相鄰,且具有沿相同方向測量及/或以其他方式取得之相同或相似的尺寸。舉例而言,在圖示之實施方式中,設計或圖案104中之每個特徵104a與104b的寬度給定一數值y。
在一些實施方式中,如圖所示,於特徵104b中及/或上形成光罩散射條106。如先前所述,光罩散射條106在曝光期間作用以允許一些光及/或輻射在其位置洩漏,這轉而在半導體結構上的選定位置產生光阻層的有效曝光,使得在顯影光阻層時,光阻層在選定位置變薄,但未完全溶解及/或以其他方式去除,同時在選定位置的光阻層中仍保留所需之關鍵尺寸。更一般地,可使用任何其他類型之次解析輔助特徵代替例示光罩散射條106,以提供這種效果,例如相移光罩中局部相移的設計區域。
現請參照第2圖,下圖繪示出使用三層結構之半導體結構200的側剖面圖,此三層結構包含形成在選擇性之硬罩幕層240上及/或上方之光阻層210、中間層220、與底層230。實務上,半導體結構200或晶圓將經歷如本文所描述之例如使用第1A圖與第1B圖之光罩100的微影製程。光阻層210與中間層220是犧牲層,如本文所述,其用以在底層230中形成圖案,來對下方之半導體晶圓或半導體元件250(以虛線表示)的選定區域中進行處理。選擇性之硬罩幕240係提供於底層230可能無法勝任的製程,例如離子植入、一些類型的電漿蝕刻、電鍍等。舉例而言,可利用形成在底層230中之開口,以在那些開口中進行硬罩幕層240的選擇性蝕刻,隨後進行下方之半導體晶圓或半導體元件250的蝕刻、離子植入、電鍍、或其他處理,同樣僅限於這些開口。另一方面,若底層勝任欲進行之製程,例如在某些類型之材料沉積或某些類型之蝕刻的情況下,則可省略硬罩幕240,且可直接利用底層230中之開口來進行選擇性沉積、蝕刻、或其他製程。這些僅是說明性的例子,且可透過所揭露之微影製程對下方之半導體晶圓或半導體元件250進行其他類型之半導體製造處理操作。透過非限制性例子的方式,在一些實施方式中,下方之半導體晶圓或半導體元件250可為矽基半導體元件晶圓,例如包含矽晶圓,此矽晶圓在與堆疊210、220、230、與選擇性240一起進行之微影控制處理之前,可選擇性地已經具有沉積在其上之一或多個(相同或另一)半導體、介電質、金屬、或其他材料、或材料組合的層及/或區域。
在一些實施方式中,硬罩幕層240包含及/或選擇性地由氮化矽(SiN)、二氧化矽(SiO 2)、氮化鈦(TiN)、二氧化鋁(AlO 2)、及/或其他類似材料,包含其適合組合所形成。硬罩幕240之材料與厚度足以承受要在下方之半導體晶圓或半導體元件250上進行之電漿蝕刻、離子植入、電鍍、或其他處理。
在一些實施方式中,於形成光阻層210前,在硬罩幕層240上及/或上方形成底層230,且在底層230上及/或上方形成中間層220。適當地,可利用任何適合之塗覆技術,例如像是旋塗製程,形成底層230與中間層220。在一些實施方式中,其他之製造步驟,例如烘烤,可選擇性地進一步實施於底層230與中間層220。在一些實施方式中,中間層220由碳(C)、氧(O)、矽(Si)、及/或其他適合之材料、及其組合形成。
在一些實施方式中,在硬罩幕層240上方形成光阻層210。光阻層210的形成選擇性地包含透過適合之技術,例如像是旋塗,在中間層220上塗布第一光阻溶液。在一些適合之實施方式中,其他製造步驟,例如像是烘烤,可進一步實施於光阻層210。在一些實施方式中,光阻層210可包含及/或由正型光阻材料形成,正型光阻材料在暴露於光/輻射源之後,變得可溶解於顯影劑溶液。在一些實施方式中,光阻層210可包含及/或由負型光阻材料形成,負型光阻材料在暴露於光/輻射源之後,變得不可溶於顯影劑溶液。適當地,可例如透過改變其中之碳、氫、及/或氧的比例,來調整光阻層210之組成,以具有用於所提出之微影曝光製程之適合的曝光門檻,如本揭露後面所討論。在一些實施方式中,光阻層210以原始或起始厚度形成。舉例而言,如圖所示,光阻層210之原始或起始厚度給定一數值x。
現請參照第2圖,上部,描述了對半導體結構200適當地進行參考微影順序260的一部分。提供光罩262,光罩262包含用於印第一關鍵尺寸之特徵之一或多個光吸收或反射區域264(例如,在反射式光罩的情況下吸收,或在透射式光罩的情況下反射),以及用於印小於第一關鍵尺寸之第二關鍵尺寸之特徵的至少一光吸收或反射區域266。其餘區域265是反射或透射的,分別用於反射或透射光罩。
如在平面圖268與剖面圖270中所見,在使用光罩262對半導體結構200上之光阻層210曝光顯影後,光阻(這裡假定是正型光阻)存在於對應於光罩262之光吸收或反射區域264的區域中,因為在這些區域中光阻被屏蔽而不受曝光。另一方面,移除光阻層210,以暴露出對應於光罩262之光反射或透射區域266的區域中的中間層220,因曝光減弱了這些區域中之光阻的化學鍵,使顯影劑能夠去除這些區域中的光阻。
如第2圖上部260進一步所見,區域264具有第一關鍵尺寸,在平面圖268與側剖面圖270中以寬度w表示。另一方面,特別對應於光吸收或反射區域266的區域280印成具有對應於較小之第二關鍵尺寸的寬度w CD2的光阻區域(即特徵)。舉例而言,在電晶體等的情況下,區域280可能要被製造,以形成電晶體之聚合物閘極,其中寬度w CD2對應於通道長度。
然而,可能出現的問題是,取決於第二關鍵尺寸之寬度w CD2與其他因素,光阻的此區域280可能例如透過光阻剝離的方式,而容易受到損壞或分層。更一般地,若微影中之間距解析度或剝離/殘渣窗足夠,那麼使用不同之光罩上尺寸(DOM)是可行的,以獲得圖案化之光阻層中的不同關鍵尺寸目標(例如區域280之寬度w CD2)。若間距解析度或剝離/殘渣窗不足,提供第二關鍵尺寸的一種方法可為使用雙光罩曝光來修整較小之關鍵尺寸。然而,由於使用了二個光罩,這會增加成本與週期時間,此外圖案設計可能會基於第二光罩類型而受到限制。
請參照第3圖,依照一些實施方式,現在描述微影製程300,微影製程300使用第1A圖之光罩100在半導體結構200上圖案化至少二不同關鍵尺寸的特徵,這可避免上述之光阻剝離或較小關鍵尺寸之光阻圖案區域之其他損壞的可能性。最後,至少二特徵中的一個將形成為具有第一目標關鍵尺寸(CD),且至少二特徵中的另一個將形成為具有小於第一目標關鍵尺寸的第二目標關鍵尺寸。如本文所述,第4A圖與第4B圖至第7A圖與第7B圖分別顯示出在製程300中之各個階段及/或之後的半導體結構200的區域側剖面圖與上視圖。
特別地,第7A圖與第7B圖顯示出已經執行了製程300中所描述之最後步驟後之所產生的半導體結構200。在例示之實施方式中,最終將特徵230a與230b圖案化及/或以其他方式形成在底層230中。如圖所示,特徵230a具有第一目標關鍵尺寸w,且特徵230b具有第二目標關鍵尺寸w CD2,其中第二目標關鍵尺寸w CD2<第一目標關鍵尺寸w,目標關鍵尺寸是沿著及/或沿相同方向,例如沿間距方向測量的。然而,如第4A圖與第4B圖所示,這是通過初始曝光/顯影步驟達成的,而產生較寬厚度之光阻區域210b,來對應較大之第一目標關鍵尺寸。此較寬之光阻區域210b(相較於第2圖之參考微影製程的光阻區域280)更能抵抗光阻剝離或其他損壞,因而可提高元件良率。此外,第3圖與第4A圖、第4B圖、第5A圖、第5B圖、第6A圖、第6B圖、第7A圖、及第7B 圖之微影製程僅使用單一光罩來達成此一優勢。
請再次參照第3圖,製程300始於曝光步驟310。在曝光步驟310中,光罩100與半導體結構200或晶圓彼此適當地對齊及/或對準,且紫外、深紫外、或極紫外光及/或輻射(例如,來自其適合的來源)穿射過或從光罩100反射到半導體結構200之光阻層210上。這在光阻層210中形成對應於光罩100上之設計及/或圖案104的潛像。
於曝光步驟310後,適當地進行顯影步驟320。在顯影步驟320期間,將顯影劑溶液施加到光阻層210,藉此根據曝光步驟310所產生之曝光,來溶解及/或以其他方式選擇性地移除部分之光阻層210。實務上,當正型光阻材料用於光阻層210時,暴露於接收來自光罩100之光及/或輻射的那部分通常在顯影步驟320期間被溶解及/或以其他方式去除。替代的,當負型光阻材料用於光阻層210時,未暴露於接收來自光罩100之光及/或輻射的那部分通常在顯影步驟320期間被溶解及/或以其他方式去除。操作310與320在此統稱為曝光與顯影步驟,且例如對應於第2圖之參考微影製程260的曝光與顯影步驟(但使用具有次解析輔助特徵106之第1A圖與第1B圖的不同光罩100,而非不具有此特徵之製程260的光罩262)。
第4A圖與第4B圖顯示出在顯影步驟320後之半導體結構200。可看出,根據在曝光步驟310期間以光罩100所產生之曝光,於顯影步驟320期間在一些位置處已經溶解及/或完全去除(或幾乎完全去除)光阻層210。舉例而言,在使用正型光阻材料的實施方式中,在顯影步驟320期間完全去除光阻層210的位置是在曝光步驟310期間接收標稱完全曝光的那些位置,例如對應於光罩100之設計或圖案104中的開口、空隙、或間隙,例如間隙108的位置。
在第4A圖與第4B圖中亦可看出,根據在曝光步驟310期間以光罩100所產生之曝光,於顯影步驟320期間在某些位置處的光阻層210沒有被溶解及/或去除。舉例而言,在使用正型光阻材料的實施方式中,在顯影步驟320期間沒有去除光阻層210的位置是在曝光步驟310期間未曝光的那些位置,例如對應於光罩100之設計或圖案104吸收或以其他方式阻擋光及/或輻射透射的位置,因此光及/或輻射在曝光步驟310期間不被光阻層210所接收。更具體地,在一些適合之實施方式中,根據在曝光步驟310期間以光罩100所產生之曝光,在至少一個位置,例如於顯影步驟320之後,光阻層210未改變及/或以其他方式在其原始厚度x處或附近保持完整,藉此在光阻層210中形成第一特徵210a,第一特徵210a具有厚度x,以及在光阻層210中之寬度或關鍵尺寸w。此寬度w可對應於先前參照第2圖所描述之參考微影製程260之平面圖268與側剖面圖270中所示之圖案化光阻層的寬度w。
從第4A圖與第4B圖中可進一步看出,在至少一個其他位置,例如根據在曝光步驟310期間以光罩100所產生之曝光,於顯影步驟320期間僅部分地溶解及/或去除光阻層210。更具體地,形成在光阻層210中之特徵210b是源自顯影步驟320期間在此選定或目標位置處將光阻層210從其原始厚度x減薄到小於厚度x的厚度x’,但光阻層210尚未為顯影步驟320在此選定或目標位置處完全溶解及/或去除。適當地,儘管如此,特徵210b仍保持寬度或關鍵尺寸w,即約與形成在光阻層210中之特徵210a相同。此可與對應於第2圖之參考微影製程260的平面圖268與側剖面圖270中所示之圖案化光阻層中之此特徵的第二關鍵尺寸的較窄寬度w CD2形成對比。由於圖案化之光阻區域210b具有較大之第一關鍵尺寸的較大寬度w,而不是較窄之第二關鍵尺寸的較窄寬度w CD2,因此該區域210b發生光阻剝離或其他損壞的可能性大大降低,進而改善元件良率。
應當理解的是,在光阻層210中形成特徵210b的選定或目標位置處的光阻層210的減薄是藉助於設置在光罩100中及/或上之光罩散射條106來實現的。也就是說,光罩散射條106使光阻層210之選定或目標位置接收有限的曝光,例如在曝光步驟310期間光罩散射條106處之光及/或輻射洩漏。在一些實施方式中,此有限曝光小於標稱完全曝光,例如在光阻層210之對應於光罩100之設計或圖案104中的開口、空隙、及/或間隙,例如間隙108的其他位置處接收到的。值得注意的是,穿過散射條106之光的繞射造成光擴散到更大的目標區域,而由於光的擴散,光強度降低到目標區域中的中度曝光。藉由針對曝光步驟310中所使用之光的波長與強度適當設計散射條106的寬度(例如,使用第一原理光學繞射理論或模擬適當地進行這種設計,接著進行驗證測試運行),可將繞射光的擴散及其因擴散而降低的強度設計為在區域210b中產生所需之光阻的薄化,而不會完全去除區域210b中的光阻。雖然散射條106顯示為說明性實施方式,但更一般地,可使用且適當地設計任何次解析輔助特徵(SRAF)106,以在限定區域上提供所需之較低強度的繞射光,來形成區域210b。舉例而言,相移光罩(PSM)中之局部相移的設計區域可用於此目的。因此,由於光阻層210的選定或目標位置已接受少於標稱完全曝光,因此於顯影步驟320期間在選定及/或目標位置中之光阻層210未完全溶解或以其他方式去除,相反地它只是從原始厚度x減薄到小於厚度x的厚度x’,同時在光阻層210中保持寬度及/或關鍵尺寸w,此寬度及/或關鍵尺寸w與其他特徵,例如形成在光阻層210中之特徵210a的寬度及/或關鍵尺寸相同或相似。
也就是說,在一些實施方式中,在曝光步驟310期間,光罩100根據光罩100之設計或圖案104在光阻層210上產生曝光圖案,其中:(i)光阻層210之一或多個區域(即,例如對應於開口、空隙、及/或間隙,例如存在於光罩100之設計或圖案104中之間隙108的位置的曝光區域)接收標稱全光及/或輻射曝光作為曝光步驟310的結果;(ii)光阻層210之一或多個其他區域(即,未曝光區域,例如對應於在曝光步驟310期間光及/或輻射被光罩100之設計或圖案104吸收及/或阻擋的地方)接收很少或沒有光及/或輻射作為曝光步驟310的結果;以及(iii)光阻層210之至少一個選定或目標區域(即,局部曝光的區域,對應於設置在光罩100中及/或上之光罩散射條106的位置)在曝光步驟310期間接受有限或中等之光及/或輻射曝光,其中有限或中等之光/輻射曝光小於標稱全光/輻射曝光,但仍大於很少或沒有光/輻射曝光。因此,在後續之顯影步驟320期間,假設已使用正型光阻材料於光阻層210:(i)完全去除光阻層210之曝光區域;(ii)未曝光區域保持完整及/或未改變;以及(iii)光阻層210之局部曝光區域被局部去除或從其原始厚度x變薄至較小的厚度x’,同時保持其寬度或關鍵尺寸w。
於顯影步驟320後,對半導體結構200或晶圓進行第一蝕刻步驟330。在一些實施方式中,第一蝕刻步驟330可為等向性蝕刻或異向性蝕刻。在一些實施方式中,可利用適合之蒸氣相或氣相矽蝕刻製程,例如使用適合之碳氟化合物,例如六氟丁二烯(C 4F 6)、八氟環丁烷(C 4F 8)等,進行第一蝕刻步驟330。
實務上,第一蝕刻步驟330操作以在中間層220中形成開口,這些開口對應於先前已形成在光阻層210中的開口,即,(假設是正型光阻材料)光阻層210在曝光步驟310期間已經接受標稱完全曝光,且此後在顯影步驟320期間已經完全溶解及/或以其他方式去除的那些位置。第5A圖與第5B圖顯示出在第一蝕刻步驟330之後的半導體結構200。
在一些適合之實施方式中,取決於在顯影步驟320後在給定位置處之光阻層210的厚度,第一蝕刻步驟330亦操作以去除及/或薄化那些給定位置處之剩餘光阻層210。更具體地,在顯影步驟320期間,光阻層210先前沒有被薄化或去除的位置處,例如在特徵210a處,第一蝕刻步驟330僅導致光阻層210的局部移除及/或薄化。然而,在顯影步驟320期間,光阻層210先前已被薄化或以其他方式局部去除的位置處,例如在特徵210b處,第一蝕刻步驟330適合導致剩餘之先前薄化的光阻劑層210的完全(或幾乎完全)移除。
如第5A圖與第5B圖中可見,作為第一蝕刻步驟330之結果之在中間層220中形成的特徵220a保持被光阻層210的一部分覆蓋及/或以其他方式保護,即在光阻層210中形成之特徵210a的剩餘部分。相反地,作為第一蝕刻步驟330之結果之在中間層220中形成之特徵220b已經未被覆蓋及/或暴露出(或至少幾乎未被覆蓋或幾乎暴露出)。也就是說,作為第一蝕刻步驟330之結果之在中間層220中形成的特徵220b沒有被光阻層210之任何(或任何實質的)剩餘部分覆蓋及/或以其他方式保護。
重新關注第3圖,於第一蝕刻步驟330後,對半導體結構200或晶圓實施第二或修整蝕刻步驟340。第6A圖與第6B圖顯示出在第二/修整蝕刻步驟340之後的半導體結構200。在一些實施方式中,第二/修整蝕刻步驟320可為等向性蝕刻或異向性蝕刻。適當地,光阻層210與中間層220之間對於第二/修整蝕刻步驟340具有相對高的敏感度。
在一些適合之實施方式中,第二/修整蝕刻步驟340操作以選擇性修整及/或窄化在中間層220中形成的特徵,取決於在第二/修整蝕刻步驟340實施時,作為第一蝕刻步驟330之結果之在中間層220中形成的那些特徵是否仍為光阻層210的剩餘部分覆蓋及/或以其他方式保護。更具體地,於第一蝕刻步驟後仍為光阻層210之剩餘部分覆蓋及/或以其他方式保護之在中間層220中形成的那些特徵(例如,像是特徵220a在第一蝕刻步驟330後仍被形成於光阻層210中的特徵210a所覆蓋及/或保護),在第二/修整蝕刻步驟340的結果實際上並沒有修整及/或窄化,即因光阻層210之遮蓋或覆蓋不要修剪或不要窄化之特徵的那部分所提供之對第二/修整蝕刻步驟340的防止。因此,未修整或未窄化之特徵,例如像是特徵220a,保持與第二/修整蝕刻步驟340實施之前相同(或大致相同)之寬度或關鍵尺寸w。相反的,於第一蝕刻步驟後並未被光阻層210之剩餘部分覆蓋及/或以其他方式保護之在中間層220中形成的那些特徵(例如,像是特徵220b在第一蝕刻步驟330後未被形成於光阻層210之任何剩餘部分所覆蓋及/或保護),在第二/修整蝕刻步驟340的結果被有效修整及/或窄化,即因缺乏及/或缺少光阻層210之適合部分所提供之對第二/修整蝕刻步驟340的防止。因此,第二/修整蝕刻步驟340的結果,經修整或窄化之特徵,例如像是特徵220b,實現之寬度或關鍵尺寸w CD2小於其在第二/修整蝕刻步驟340實施之前之寬度或關鍵尺寸w。在一些實施方式中,變窄之寬度w CD2對應於第二個目標關鍵尺寸。可使用測試運行及/或中間層220之材料的先驗已知蝕刻速率來設計第二/修整蝕刻步驟340的參數,例如蝕刻劑組成、蝕刻時間、電漿參數(在電漿蝕刻的情況下)等,以為特徵220b提供所需之寬度w CD2
在一些適合之實施方式中,除了前述之選擇性修整之外,第二/修整蝕刻步驟340亦可操作以去除在第一蝕刻步驟330之後剩餘之光阻層210的那些部分。替代地,在一些適合之實施方式中,在第二/修整蝕刻步驟340後之單獨蝕刻步驟(未示出)可實施於半導體結構200或晶圓,以去除在第一蝕刻步驟330後留下之光阻層210的那些部分。
重新關注第3圖,於第二/修整蝕刻步驟340後,對半導體結構200或晶圓實施第三蝕刻步驟350。第7A圖與第7B圖顯示出第三蝕刻步驟340之後的半導體結構200。值得注意的是,對應於特徵210a與220a的特徵230a保留了對應於第一關鍵尺寸之寬度w,而對應於經修整之特徵220b的特徵230b(請參見第6A圖與第6B圖)保留了對應於較窄之第二關鍵尺寸之縮減的寬度w CD2
在一些適合之實施方式中,第三蝕刻步驟350操作以在底層230中形成開口,及/或去除底層230對應於及/或對齊於先前已經在中間層220中形成之開口、空隙、及/或間隙的那些位置的部分,而對應於及/或對齊於在第二/修整蝕刻步驟340之後保持完整之中間層220的部分之底層230的區域或部分未被第三蝕刻步驟350去除。
在一些適合之實施方式中,除了前面所描述之底層230的去除之外,第三蝕刻步驟350亦可操作以去除在第二/修整蝕刻步驟340之後保留之中間層220的那些部分。在一些適合之實施方式中,在第三蝕刻步驟350後之單獨蝕刻步驟(未示出)可實施於半導體結構200或晶圓,以去除在第二/修整蝕刻步驟340後留下之中間層220的那些部分。
如第7A圖與第7B圖所示之於第3圖之微影製程後的半導體結構200適合於取決於正在進行之特定半導體製程的進一步處理。舉例而言,在一種製程中,圖案化之底層230形成用於蝕刻硬罩幕240之罩幕,以在硬罩幕240中形成對應之圖案,然後作為用於對下方之半導體晶圓或半導體元件250進行圖案化處理,例如離子植入、電漿蝕刻等的圖案。在一些實施方式中,可省略硬罩幕240,而在這種情況下,圖案化之底層230直接作為用於對下方之半導體晶圓或半導體元件250進行圖案化處理,例如材料沉積的圖案。這些僅僅是非限制性的說明性例子。
以上描述主要是指光阻層210採用正型光阻材料的實施方式。然而,應當理解的是,可進行適當的修改,以適應使用負型光阻材料於光阻層210,依照本文所揭露之一些實施方式,設想針對負型光阻材料進行哪些修改與使用。舉例而言,在負型光阻材料用於光阻層210的實施方式中,光罩散射條106適當地由光/輻射吸收及/或阻擋材料形成,此材料布置在光罩基板102上由光罩 100之設計或圖案104所定義之開口、空隙、或間隙,例如間隙108中的位置處。在這樣的方式中,光阻層210上之對應目標區域,實際上由於光罩散射條106阻擋或吸收了一些光/輻射而僅部分暴露,否則將在沒有光罩散射條106的情況下完全曝光,且這些光/輻射將被透射及/或反射到光阻層210上的目標區域。
在一些實施方式中,可透過調節光罩散射條106處之光/輻射的洩漏,來確定在目標區域處,例如在形成特徵210b之地方的光阻層210中最終實現的減薄量。也就是說,由顯影步驟320所產生之光阻層210中之特徵210b的所得高度x’通常取決於光罩散射條106所允許/所引起之光/輻射的洩漏量,此光/輻射的洩漏量在曝光步驟310期間接收在光阻層210之目標區域中及/或由目標區域所接收。因此,在一些實施方式中,選擇光罩散射條106之尺寸、形狀、及/或角度,以實現適當量的光/輻射洩漏,這將繼而在顯影步驟320期間產生所需之光阻層210的減薄量,例如在形成於光阻層210中之特徵210b的位置處。在一些實施方式中,可在光罩100中及/或光罩100上採用其他的次解析輔助特徵,以達到與光罩散射條106相同或類似的效果。舉例而言,在一些實施方式中,次解析輔助特徵可利用例如局部相移、針對ILS(成像對數斜率)(對比度)損失之光罩輪廓優化等技術。
在一些實施方式中,半導體結構200選擇性地包含額外之中間層及/或底層,且可透過額外及/或單獨之蝕刻及/或修整步驟來實現額外之不同特徵寬度及/或關鍵尺寸。
在下文中,描述一些進一步的說明性實施方式。
在一些實施方式中,一種圖案化製程在半導體晶圓上進行,半導體晶圓塗布有底層、中間層、以及具有起始厚度之光阻層。圖案化製程包含:進行曝光步驟,曝光步驟包含使用光罩曝光半導體晶圓,光罩包含特徵,此特徵在目標區域中產生中度曝光,隨後處理而依照光罩在光阻層中產生複數個開口,以及由於目標區域中之中度曝光而薄化目標區域中之光阻,以在目標區域中留下薄化之光阻;進行中間層蝕刻,以在中間層中形成複數個開口對齊光阻層中之開口,其中因薄化之光阻所提供之保護,中間層蝕刻不會去除目標區域中之中間層;以及進行修整蝕刻,以修整目標區域中之中間層。
在另一些實施方式中,圖案化製程更包含:移除光阻層;以及進行底層蝕刻,以在底層中形成複數個開口對齊中間層之開口。
在另外之實施方式中,在目標區域中產生中度曝光之特徵為光罩散射條。
在一些實施方式中,在目標區域中產生中度曝光之特徵為具有局部相移之光罩之一區域。
在又一些實施方式中,於中間層蝕刻後,中間層已經在其中形成至少二特徵,此至少二特徵中的每一個界定在形成在中間層中之相鄰之開口之間,且具有由相鄰之開口之間隔界定之第一寬度。
在另一些實施方式中,修整蝕刻將至少二特徵中之一特徵窄化成第二寬度,第二寬度小於第一寬度。
在一些實施方式中,窄化之特徵對齊光阻層被薄化之目標區域。
在更進一步之實施方式中,修整蝕刻並未窄化至少二特徵中之一者,使得至少二特徵中之該者保持第一寬度。
在一些實施方式中,一種圖案化製程在半導體晶圓上進行,此半導體晶圓塗布有光阻層。圖案化製程包含使用光罩將半導體晶圓暴露於光,以產生曝光圖案,此曝光圖案包含:接受完全曝光之半導體晶圓之複數個曝光區域,未接受曝光之半導體晶圓之複數個未曝光區域,以及接收小於完全曝光之中度曝光之半導體晶圓之至少一目標區域。圖案化製程更包含:在暴露於光之後,處理半導體晶圓,以依照曝光區域與未曝光區域在光阻層中產生複數個開口,並薄化在至少一目標區域中之光阻層;進行第一蝕刻,以在半導體晶圓之位於光阻層下方之第一層中形成複數個開口對齊於光阻層中之開口,其中第一蝕刻並未在第一層中形成開口對齊至少一目標區域;以及進行修整蝕刻,以修整至少一目標區域中之第一層。
在另一些實施方式中,圖案化製程更包含:移除光阻層;以及進行第二蝕刻,以在半導體晶圓之設於第一層下方之第二層中形成複數個開口,第二層中之開口對齊於第一層中之開口。
在更進一步之實施方式中,於第一蝕刻後,第一層已在其中形成有至少二特徵,至少二特徵的每一個界定在形成於第一層中之相鄰之開口之間且具有由相鄰之開口之間隔界定之第一寬度。
在另外之實施方式中,修整蝕刻將至少二特徵中之一特徵窄化成第二寬度,第二寬度小於第一寬度。
在另一些實施方式中,窄化之特徵對齊光阻層被薄化之目標區域。
在一些附加實施方式中,修整蝕刻並未窄化至少二特徵中之一者,使得至少二特徵中之該者保持第一寬度。
在一些實施方式中,由圖案化製程所形成之半導體晶圓。
在一些實施方式中,提供一種光罩,使用於實施在半導體結構之圖案化製程,半導體結構具有第一厚度之光阻層。光罩包含光罩基板、以及光罩圖案形成在光罩基板上。光罩圖案包含:第一可印刷特徵運作以印刷具有第一關鍵尺寸(CD)之對應光阻圖案特徵,以及第二可印刷特徵包含次解析輔助特徵。第二可印刷特徵運作以印刷具有第一關鍵尺寸與由於次解析輔助特徵之光阻縮減厚度之對應光阻圖案特徵。
在另一些實施方式中,次解析輔助特徵為光罩散射條。
在又一些實施方式中,光罩為反射式光罩或透射式光罩之一。
在更進一步之實施方式中,次解析輔助特徵包含一相移光罩,該相移光罩具有定義次解析輔助特徵之局部相移。
上述已概述數個實施方式的特徵,因此熟習此技藝者可更了解本揭露之態樣。熟習此技藝者應了解到,其可輕易地利用本揭露做為基礎,來設計或潤飾其他製程與結構,以實現與本文所介紹之實施方式相同之目的及/或達到相同的優點。熟習此技藝者也應了解到,這類對等架構並未脫離本揭露之精神和範圍,且熟習此技藝者可在不脫離本揭露之精神和範圍下,在此進行各種之更動、取代、與修改。
100:光罩 102:光罩基板、基板 104:設計、圖案 104a:特徵 104b:特徵 106:光罩散射條、次解析輔助特徵、散射條 108:間隙 200:半導體結構 210:光阻層、堆疊 210a:第一特徵、特徵 210b:光阻區域、特徵、區域 220:中間層、堆疊 220a:特徵 220b:特徵 230:底層、堆疊 230a:特徵 230b:特徵 240:硬罩幕層、硬罩幕、選擇性 250:半導體晶圓、半導體元件 260:參考微影順序、上部、參考微影製程、製程 262:光罩 264:光吸收或反射區域、區域 265:區域 266:光吸收或反射區域 268:平面圖 270:剖面圖、側剖面圖 280:區域、光阻區域 300:微影製程、製程 310:曝光步驟、操作 320:顯影步驟、操作 330:第一蝕刻步驟 340:第二/修整蝕刻步驟 350:第三蝕刻步驟 w:寬度、第一目標關鍵尺寸、關鍵尺寸 w CD2:寬度、第二目標關鍵尺寸、關鍵尺寸 x:數值、厚度 x’:厚度 y:數值
從以下結合所附圖式所做的詳細描述,可對本揭露之態樣有更佳的了解。應注意的是,根據業界的標準實務,各特徵並未依比例繪示。事實上,為了使討論更為清楚,各特徵的尺寸都可任意地增加或縮減。 [第1A圖]與[第1B圖]係分別繪示依照本文所揭露之非限制示範實施方式的一種光罩的側視示意圖與上視示意圖。 [第2圖]之下圖係繪示依照本文所揭露之一些示範實施方式之可遭受例如採用第1A圖與第1B圖之光罩之微影製程的半導體結構及/或晶圓的側剖面示意圖。第2圖之上部顯示出一種參考微影順序的一部分。 [第3圖]係繪示依照本文所揭露之一些實施方式的一種示範微影製程的流程圖,此示範微影製程例如使用第1A圖與第1B圖之光罩且應用於例如第2圖之半導體結構。 [第4A圖]與[第4B圖]係分別繪示對第2圖之半導體結構及/或晶圓依照第3圖所示之製程應用顯影步驟後的區域剖面示意圖與上視示意圖。 [第5A圖]與[第5B圖]係分別繪示對第2圖之半導體結構及/或晶圓依照第3圖所示之製程應用第一蝕刻步驟後的區域剖面示意圖與上視示意圖。 [第6A圖]與[第6B圖]係分別繪示對第2圖之半導體結構及/或晶圓依照第3圖所示之製程應用第二或修整蝕刻步驟後的區域剖面示意圖與上視示意圖。 [第7A圖]與[第7B圖]係分別繪示對第2圖之半導體結構及/或晶圓依照第3圖所示之製程應用第三蝕刻步驟後的區域剖面示意圖與上視示意圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:半導體結構
210:光阻層、堆疊
210a:第一特徵、特徵
210b:光阻區域、特徵、區域
220:中間層、堆疊
230:底層、堆疊
240:硬罩幕層、硬罩幕、選擇性
250:半導體晶圓、半導體元件
w:寬度、第一目標關鍵尺寸、關鍵尺寸
x:數值、厚度
x’:厚度

Claims (20)

  1. 一種圖案化製程,在一半導體晶圓上進行,該半導體晶圓塗布有一底層、一中間層、以及具有一起始厚度之一光阻層,該圖案化製程包含: 進行一曝光步驟,該曝光步驟包含使用一光罩曝光該半導體晶圓,該光罩包含一特徵,該特徵在一目標區域中產生一中度曝光,隨後處理而依照該光罩在該光阻層中產生複數個開口,以及由於該目標區域中之該中度曝光而薄化該目標區域中之光阻,以在該目標區域中留下一薄化之光阻; 進行一中間層蝕刻,以在該中間層中形成複數個開口對齊該光阻層中之該些開口,其中因該薄化之光阻所提供之保護,該中間層蝕刻不會去除該目標區域中之該中間層;以及 進行一修整蝕刻,以修整該目標區域中之該中間層。
  2. 如請求項1所述之圖案化製程,更包含: 移除該光阻層;以及 進行一底層蝕刻,以在該底層中形成複數個開口對齊該中間層之該些開口。
  3. 如請求項1所述之圖案化製程,其中在該目標區域中產生該中度曝光之該特徵包含一光罩散射條。
  4. 如請求項1所述之圖案化製程,其中在該目標區域中產生該中度曝光之該特徵包含具有一局部相移之該光罩之一區域。
  5. 如請求項1所述之圖案化製程,其中於該中間層蝕刻後,該中間層已經在其中形成至少二特徵,該至少二特徵中的每一個界定在形成在該中間層中之相鄰之該些開口之間,且具有由相鄰之該些開口之一間隔界定之一第一寬度。
  6. 如請求項5所述之圖案化製程,其中該修整蝕刻將該至少二特徵中之一特徵窄化成一第二寬度,該第二寬度小於該第一寬度。
  7. 如請求項6所述之圖案化製程,其中窄化之該特徵對齊該光阻層被薄化之該目標區域。
  8. 如請求項6所述之圖案化製程,其中該修整蝕刻並未窄化該至少二特徵中之一者,使得該至少二特徵中之該者保持該第一寬度。
  9. 如請求項1所述之圖案化製程,其中在該目標區域中產生該中度曝光之該特徵包含一次解析輔助特徵。
  10. 一種圖案化製程,在一半導體晶圓上進行,該半導體晶圓塗布有一光阻層,該圖案化製程包含: 使用一光罩將該半導體晶圓暴露於光,以產生一曝光圖案,該曝光圖案包含: 接受一完全曝光之該半導體晶圓之複數個曝光區域, 未接受曝光之該半導體晶圓之複數個未曝光區域,以及 接收小於該完全曝光之一中度曝光之該半導體晶圓之至少一目標區域; 在暴露於光之後,處理該半導體晶圓,以依照該些曝光區域與該些未曝光區域在該光阻層中產生複數個開口,並薄化在該至少一目標區域中之該光阻層; 進行一第一蝕刻,以在該半導體晶圓之位於該光阻層下方之一第一層中形成複數個開口對齊於該光阻層中之該些開口,其中該第一蝕刻並未在該第一層中形成一開口對齊該至少一目標區域;以及 進行一修整蝕刻,以修整該至少一目標區域中之該第一層。
  11. 如請求項10所述之圖案化製程,更包含: 移除該光阻層;以及 進行一第二蝕刻,以在該半導體晶圓之設於該第一層下方之一第二層中形成複數個開口,該第二層中之該些開口對齊於該第一層中之該些開口。
  12. 如請求項10所述之圖案化製程,其中於該第一蝕刻後,該第一層已在其中形成有至少二特徵,該至少二特徵的每一個界定在形成於該第一層中之相鄰之該些開口之間且具有由相鄰之該些開口之一間隔界定之一第一寬度。
  13. 如請求項12所述之圖案化製程,其中該修整蝕刻將該至少二特徵中之一特徵窄化成一第二寬度,該第二寬度小於該第一寬度。
  14. 如請求項13所述之圖案化製程,其中窄化之該特徵對齊該光阻層被薄化之該目標區域。
  15. 如請求項13所述之圖案化製程,其中該修整蝕刻並未窄化該至少二特徵中之一者,使得該至少二特徵中之該者保持該第一寬度。
  16. 如請求項10所述之圖案化製程,其中該至少一目標區域所接收之該中度曝光由形成在該光阻上之一次解析輔助特徵產生。
  17. 一種光罩,使用於實施在一半導體結構之一圖案化製程,該半導體結構具有一第一厚度之一光阻層,該光罩包含: 一光罩基板;以及 一光罩圖案,形成在該光罩基板上,該光罩圖案包含: 一第一可印刷特徵,運作以印刷具有一第一關鍵尺寸(CD)之一對應光阻圖案特徵,以及 一第二可印刷特徵,包含一次解析輔助特徵,其中該第二可印刷特徵運作以印刷具有該第一關鍵尺寸與由於該次解析輔助特徵之一光阻縮減厚度之一對應光阻圖案特徵。
  18. 如請求項17所述之光罩,其中該次解析輔助特徵包含一光罩散射條。
  19. 如請求項17所述之光罩,其中該光罩為一反射式光罩或一透射式光罩之一。
  20. 如請求項17所述之光罩,其中該次解析輔助特徵包含一相移光罩,該相移光罩具有定義該次解析輔助特徵之一局部相移。
TW110134649A 2021-04-09 2021-09-16 圖案化製程 TW202240282A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163172962P 2021-04-09 2021-04-09
US63/172,962 2021-04-09
US17/370,382 US20220328304A1 (en) 2021-04-09 2021-07-08 Dual critical dimension patterning
US17/370,382 2021-07-08

Publications (1)

Publication Number Publication Date
TW202240282A true TW202240282A (zh) 2022-10-16

Family

ID=82716023

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110134649A TW202240282A (zh) 2021-04-09 2021-09-16 圖案化製程

Country Status (3)

Country Link
US (1) US20220328304A1 (zh)
CN (1) CN114895521A (zh)
TW (1) TW202240282A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116611391B (zh) * 2023-07-19 2023-10-10 湖北江城芯片中试服务有限公司 一种半导体版图及其布局方法
CN117148689B (zh) * 2023-11-01 2024-03-01 合肥晶合集成电路股份有限公司 一种光刻工艺的仿真处理方法、装置、设备及介质

Also Published As

Publication number Publication date
CN114895521A (zh) 2022-08-12
US20220328304A1 (en) 2022-10-13

Similar Documents

Publication Publication Date Title
US9685367B2 (en) Photomask for forming multiple layer patterns with a single exposure
TWI338814B (en) Multiple resist layer phase shift mask (psm) blank and psm formation method
US6673524B2 (en) Attenuating extreme ultraviolet (EUV) phase-shifting mask fabrication method
JPH06318541A (ja) パターンの形成方法
TWI752019B (zh) 具有多層遮光層的光罩
TW462073B (en) Manufacturing method of semiconductor device
TW202240282A (zh) 圖案化製程
TWI772645B (zh) 空白光罩、光罩之製造方法及光罩
US20080131790A1 (en) Structure Design and Fabrication on Photomask For Contact Hole Manufacturing Process Window Enhancement
CN105097455A (zh) 光掩模及其制造方法
US20090317728A1 (en) Method for Fabricating Extreme Ultraviolet Lithography Mask
TWI228207B (en) Method of forming a rim phase shifting mask and using the rim phase shifting mask to form a semiconductor device
US7008735B2 (en) Mask for improving lithography performance by using multi-transmittance photomask
TWI296126B (en) Photomask having an internal substantially transparent etch stop layer
KR100914291B1 (ko) 림 타입의 포토마스크 제조방법
KR101080008B1 (ko) 하드마스크용 원판 및 이를 이용한 하드마스크 제조방법
US5942355A (en) Method of fabricating a phase-shifting semiconductor photomask
US20080318153A1 (en) Photosensitive layer stack
JPH07261367A (ja) ホトマスクおよびその製造方法
JPH09160221A (ja) 位相シフトマスクのシフター欠陥修正方法
JP2000021978A (ja) フォトマスクおよびパターン形成方法
US8003303B2 (en) Intensity selective exposure method and apparatus
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
KR20090044405A (ko) 포토마스크의 형성방법
TW417042B (en) Method of making attenuated phase shift mask