TW202226528A - 用於先進積體電路結構製造的具有錐形閘極或溝槽接觸的主動閘極結構上方接觸 - Google Patents

用於先進積體電路結構製造的具有錐形閘極或溝槽接觸的主動閘極結構上方接觸 Download PDF

Info

Publication number
TW202226528A
TW202226528A TW110134220A TW110134220A TW202226528A TW 202226528 A TW202226528 A TW 202226528A TW 110134220 A TW110134220 A TW 110134220A TW 110134220 A TW110134220 A TW 110134220A TW 202226528 A TW202226528 A TW 202226528A
Authority
TW
Taiwan
Prior art keywords
gate
conductive
structures
trench contact
contact
Prior art date
Application number
TW110134220A
Other languages
English (en)
Inventor
查理斯 沃蘭斯
墨西特 哈朗
安迪 魏
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202226528A publication Critical patent/TW202226528A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

描述了具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構。在示例中,積體電路結構包括位於基板上方的多個閘極結構,其中多個閘極結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋。與多個閘極結構交替設置的多個導電溝槽接觸結構,其中多個導電溝槽接觸結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋。導電結構與多個閘極結構中之一者上的導電蓋及多個側壁間隔件直接接觸,或者與多個導電溝槽接觸結構中之一者上的導電蓋及多個側壁間隔件直接接觸。

Description

用於先進積體電路結構製造的具有錐形閘極或溝槽接觸的主動閘極結構上方接觸
本揭露的實施例屬於先進積體電路結構製造領域及,尤其是具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構。
在過去的幾十年裡,積體電路中特徵的縮放一直是不斷發展的半導體產業的驅動力。縮放到越來越小的特徵可以增加在半導體晶片的有限空間上的功能單元的密度。例如,縮小電晶體尺寸允許在晶片上併入更多數量的記憶體或邏輯元件,從而有助於製造具有更高容量的產品。然而,追求更大容量的驅動並非沒有問題。最佳化每個元件的性能的必要性變得越來越重要。
傳統及當前已知製程的變化可能會限制將它們進一步擴展到10奈米節點或次10奈米節點範圍的可能性。因此,未來技術節點所需的功能組件的製造可能需要在當前的製程中引入新的方法或整合新技術或取代當前的製程。
在積體電路元件的製造中,隨著元件尺寸繼續縮小,多閘極電晶體,例如三閘極電晶體,已經變得更加普遍。三閘極電晶體通常製造在塊矽基板或絕緣體上覆矽的基板上。在某些情況下,塊矽基板是首選,因為它們的成本較低,並且與現有的高產量塊矽基板基礎構造相容。
然而,縮放多閘極電晶體並非沒有後果。隨著微電子電路的這些基本建構區塊的尺寸減小並且隨著在給定區域中製造的基本建構區塊的絕對數量增加,用於製造這些建構區塊的半導體製程的限制變得勢不可擋。
以下將描述具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構,以及具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構的製造方法。在以下描述中,闡述了許多具體細節,例如具體的整合及材料規範,以提供對本揭露的實施例的透徹理解。對於本領域技術人員來說顯而易見的是,可以在沒有這些具體細節的情況下實踐本揭露的實施例。在其他情況下,不詳細描述諸如積體電路設計佈局之類的眾所周知的特徵,以免不必要地混淆本揭露的實施例。此外,應當理解,圖中所示的各種實施例是說明性表示並且不一定按比例繪製。
下面的詳細描述本質上僅是示例性的,並不用於限制發明標的的實施例或這些實施例的應用及使用。如本文所用,「示例性」一詞的意思是「用作示例、實例或說明」。此處作為示例性描述的任何實現不一定被解釋為較佳的或優於其他實現方式。此外,無意受前述技術領域、先前技術、發明內容或以下實施方式中呈現的任何明示或暗示的理論的約束。
本說明書包括對「某一實施例」或「一個實施例」的引用。短語「在某一實施例中」或「在一個實施例中」的出現不一定指同一實施例。特定特徵、結構或特性可以以與本揭露一致的任何合適方式組合。
術語。以下段落提供了本揭露(包括所附申請專利範圍)中出現的術語的定義或上下文:
「包括」這個術語是開放式的。如所附申請專利範圍中所使用,該術語不排除額外的結構或操作。
「配置為」各種單元或組件可以被描述或聲明為「被配置為」執行一個或多個任務。在這種情況下,「配置為」用於透過指示單元或組件包括在操作期間執行這些任務或多個任務的結構來意味著結構。因此,即使當指定的單元或組件當前未執行(例如,未打開或未作用)時,單元或組件也可以被認為被配置為執行任務。提及一個單元、電路或組件被「配置為」執行一項或多項任務,針對該單元或組件,明確地無意援引35U.S.C.§112第六段。
「第一」、「第二」等。如本文所用,這些術語用作它們前面的名詞的標籤,並不暗示任何類型的順序(例如,空間、時間、邏輯等)。
「耦合」-以下描述是指被「耦合」在一起的元素或節點或特徵。如本文所用,除非另有明確說明,「耦合」是指一個元件或節點或特徵直接或間接地連接至(或直接或間接地通訊於)另一元件或節點或特徵,並且不一定是機械地。
此外,以下描述中也可能會使用某些術語,僅供參考,並非用以限制。例如,諸如「上(或較上)」、「下(或較下)」、「上方」及「下方」之類的術語是指所參考的所附圖式中的方向。諸如「前」、「後」、「後」、「側」、「外側」及「內側」等術語描述了組件在一致但任意的參考方塊內的方向或位置或兩者,其透過參考描述所討論的組件的文本及相關的所附圖式而成為清楚的。此類術語可包括上文具體提及的詞、其衍生詞以及類似含義的詞。
「抑制」。如本文所用,抑制用於描述降低或最小化效果。當一個組件或特徵被描述為抑制一個動作、運動或條件時,它可能完全阻止結果或效果或未來狀態。此外,「抑制」還可以指減少或減緩可能發生的結果、性能或效果。因此,當組件、元素或特徵被稱為抑制結果或狀態時,它不需要完全阻止或消除結果或狀態。
在此描述的實施例可以針對前段製程(FEOL)半導體處理及結構。FEOL是積體電路(IC)製造的第一部分,其中在半導體基板或層中對個別元件(例如電晶體、電容器、電阻器等)進行圖案化。FEOL通常涵蓋到(但不包括)金屬互連層的沉積為止的所有一切。在最後一次FEOL操作之後,結果通常是具有隔離的複數個電晶體(例如,沒有任何導線)的晶圓。
在此描述的實施例可以針對後段製程(BEOL)半導體處理及結構。BEOL是IC製造的第二部分,其中各個元件(例如電晶體、電容器、電阻器等)以晶圓上的導線互相連接,例如,金屬化層或多層。BEOL包括用於晶片到封裝連接的接觸(點)、絕緣層(介電質)、金屬層及接合部位(bonding site)。在製造階段接觸(焊墊)的BEOL部分,形成互連導線、通孔及介電結構。對於現代IC製程,可於BEOL中增加10多個金屬層。
下面描述的實施例可適用於FEOL處理及結構、BEOL處理及結構、或FEOL及BEOL兩者處理及結構。特別地,雖然可以使用FEOL處理場景來說明示例性處理方案,但是這樣的方法也可以適用於BEOL處理。同樣地,雖然可以使用BEOL處理場景來說明示例性處理方案,但是這樣的方法也可以適用於FEOL處理。
根據本揭露的實施例,描述了主動閘極上方接觸(COAG)結構及製程。本揭露的一個或多個實施例涉及具有一個或多個設置在半導體結構或元件的閘極電極的主動部分上方的閘極接觸結構(例如,作為閘極接觸通孔)的半導體結構或元件。本揭露的一個或多個實施例涉及製造具有一個或多個設置在半導體結構或元件的閘極電極的主動部分上方的閘極接觸結構的半導體結構或元件的方法。在此描述的方法可用於透過在主動閘極區上方形成閘極接觸來減小標準單元面積。根據一個或多個實施例,實現錐形閘極及溝槽接觸以實現COAG製造。實施例可實現以在緊密的間距下進行圖案化。
為了提供上下文,主動閘極上方接觸(Contact-Over-Active-Gate)的一些實現需要選擇性(「顏色」)蝕刻。蝕刻的相關製程窗口可能不足。例如,開路及短路的缺陷模式可能會持續降低製造良率。開路缺陷也可歸因於無法填充深且密的空間的鑲嵌金屬化。例如,使閘極凹陷並用SiN重新填充,然後使溝槽接觸(TCN)凹陷並用SiC或SiOx代替以在兩種材料之間建立蝕刻選擇性,這種方法可能需要出色的蝕刻選擇性,這在縮放的尺寸上很難實現。另一種選擇涉及使閘極相對於TCN凹陷。高度偏移可以實現足夠的邊緣配置誤差裕度,以在不短路的情況下將接觸放在主動閘極上方。然而,這種方法可能需要複雜的襯墊及護罩流程以及多次拋光操作。
為了提供進一步背景說明COAG處理方案的重要性,在空間及佈局限制比當前生成空間及佈局限制稍微寬鬆的技術中,可以透過與設置於隔離區上方的閘極的一部分接觸來製造與閘極結構的接觸。作為示例,圖1A顯示了具有設置在閘極電極的非主動部上方的閘極接觸的半導體元件的平面圖。
參考圖1A,半導體結構或元件100A包括設置在基板102中及隔離區106內的擴散或主動區104。一條或多條閘極線(也稱為多晶線(poly lines)),例如閘極線108A、108B及108C,設置在擴散或主動區104上方以及隔離區106的一部分上方。源極或汲極接觸(也稱為溝槽接觸),例如接觸110A及110B,設置在半導體結構或元件100A的源極及汲極區上方。溝槽接觸通孔112A及112B分別提供與溝槽接觸110A及110B的接觸。單獨的閘極接觸114及上覆閘極接觸通孔116提供與閘極線108B的接觸。與源極或汲極溝槽接觸110A或110B相比,從平面圖的角度來看,閘極接觸114設置在隔離區106上,但不在擴散或主動區104上方。此外,閘極接觸114及閘極接觸通孔116均未設置在源極或汲極溝槽接觸110A與110B之間。
圖1B顯示了具有設置在閘極電極的非主動部分上方的閘極接觸的非平面半導體元件的截面圖。參考圖1B,半導體結構或元件100B,例如,圖1A的元件100A的非平面版本包括從基板102形成並在隔離區106內的非平面擴散或主動區104B(例如,鰭結構)。閘極線108B設置在非平面擴散或主動區104B上方以及隔離區106的一部分上方。如圖所示,閘極線108B包括閘極電極150及閘極介電層152,以及介電蓋層154。從這個透視圖還可以看到閘極接觸114及上覆的閘極接觸通孔116,以及上覆的金屬互連160,所有這些都設置在層間介電堆疊或層170中。還可從圖1B的透視圖看出,閘極接觸114設置在隔離區106上方,但不在非平面擴散或主動區104B上方。
再次參考圖1A及圖1B,半導體結構或元件100A及100B的佈置分別將閘極接觸配置在隔離區上方。這種佈置浪費了佈局空間。然而,將閘極接觸配置在主動區上方需要非常緊縮的對準預算(registration budget),或者必須增加閘極尺寸以提供足夠的空間來配置閘極接觸。此外,歷史上,為了避免鑽穿其他閘極材料(例如,多晶矽)並接觸下層的主動區的風險,避免了與擴散區上方的閘極接觸。在此描述的一個或多個實施例透過提供可行的方法及所得結構來製造接觸結構來解決上述問題,該接觸結構接觸形成於擴散或主動區上方的閘極電極的一部分。
作為示例,圖2A顯示了根據本揭露的實施例的具有設置在閘極電極的主動部分上方的閘極接觸通孔的半導體元件的平面圖。參考圖2A,半導體結構或元件200A包括設置在基板202中及隔離區206內的擴散或主動區204。一條或多條閘極線,例如閘極線208A、208B及208C,設置在擴散或主動區204以及隔離區206的一部分上方。源極或汲極溝槽接觸,例如溝槽接觸210A及210B,設置在半導體結構或元件200A的源極及汲極區上方。溝槽接觸通孔212A及212B分別提供與溝槽接觸210A及210B的接觸。沒有插入的單獨閘極接觸層的閘極接觸通孔216提供與閘極線208B的接觸。與圖1A相反,從平面圖的角度來看,閘極接觸通孔216設置在擴散或主動區204上方以及源極或汲極接觸210A與210B之間。
圖2B顯示了根據本揭露的實施例的具有設置在閘極電極的主動部分上方的閘極接觸通孔的非平面半導體元件的截面圖。參考圖2B,半導體結構或元件200B,例如,圖2A的元件200A的非平面版本包括從基板202形成並在隔離區206內的非平面擴散或主動區204B(例如,鰭結構)。閘極線208B設置在非平面擴散或主動區204B上方以及隔離區206的一部分上方。如圖所示,閘極線208B包括閘極電極250及閘極介電層252,以及介電蓋層254。從這個角度還可以看到閘極接觸通孔216以及上覆的金屬互連260,皆設置在層間介電堆疊或層270中。還可從圖2B的透視圖看出,閘極接觸通孔216設置在非平面擴散或主動區204B上方。
因此,再次參考圖2A及2B,在一個實施例中,溝槽接觸通孔212A、212B及閘極接觸通孔216形成在同一層中並且基本上共平面。與圖1A及1B相比,與閘極線的接觸之外,包括額外的閘極接觸層,例如,其可垂直於對應的閘極線延伸。然而,在描述與圖2A及2B相關聯的結構中,結構200A及200B的製造分別實現了從金屬互連層直接連接到主動閘極部分上的接觸,而不會與相鄰的源極或汲極區短路。在一個實施例中,這種佈置透過消除在隔離上擴展電晶體閘極以形成可靠接觸的需要而提供電路佈局的大面積的減小。如通篇所用,在一個實施例中,閘極的主動部分是指設置在下層的基板的主動或擴散區上方的閘極線或結構的一部分(從平面圖的角度看)。在一個實施例中,閘極的非主動部分是指設置在下層的基板的隔離區上方的閘極線或結構的一部分(從平面圖的角度來看)。
在一個實施例中,半導體結構或元件200是非平面元件,例如,但不限於,鰭式FET或三閘極元件。在這樣的實施例中,對應的半導體通道區由三維體構成或形成在三維體中。在一個這樣的實施例中,閘極線208A及208B的閘極電極堆疊至少圍繞三維體的頂面及一對側壁。在另一個實施例中,至少通道區被製成分立的三維體,例如在環繞式閘極元件中。在一個這樣的實施例中,閘極線208A及208B的閘極電極堆疊均完全圍繞通道區。
通常,一個或多個實施例涉及用於將閘極接觸通孔直接設置在主動電晶體閘極上的方法及由其形成的結構。這種方法可以消除為了接觸目的而在隔離上延伸閘極線的需要。這種方法還可以消除為了單獨的閘極接觸(GCN)層來傳導來自閘極線或結構的訊號的需要。在一個實施例中,透過在溝槽接觸(TCN)中凹陷接觸金屬並在製程流程中引入額外的介電材料(例如,溝槽絕緣層(TILA))來實現消除上述特徵。額外的介電材料被包括為溝槽接觸介電蓋層,其蝕刻特性不同於在閘極對準接觸製程(GAP)處理方案中用於溝槽接觸對準的閘極介電材料蓋層(例如,使用閘極絕緣層(GILA))。
為了提供進一步的上下文,COAG的一些實現對多個模組進行嚴格控制,模塊例如閘極及接觸凹槽、介電質硬遮罩沉積及介電質拋光,以確保蝕刻選擇性(「顏色」)硬遮罩的均勻性及嚴格遵守硬遮罩厚度的規格。顏色蝕刻的有限蝕刻選擇性可能為製造產線典型的任何上游製程偏差留下很小的空間。COAG實現可能無法提供消除所有開路及短路的可靠製程窗口。
根據本揭露的一個或多個實施例,實施了一種製程流程,該製程流程包括使閘極及溝槽接觸(TCN)凹陷並設置內部間隔件以增加邊緣配置誤差裕度並在主動閘極上方實現接觸。該製程可以在主動閘極上方製造用於元件縮放的接觸。在一個示例中,使用自對準溝槽接觸(SAC)流程來處理閘極及TCN。SAC流程可能需要閘極金屬的凹陷及硬遮罩(通常為SiN)的替換。應當理解,該過程也可以在沒有自對準TCN的情況下完成。
作為示例性處理方案,圖3A-3J顯示了截面圖,其顯示了根據本揭露的實施例的製造具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構的方法中的各種操作。圖4顯示根據本揭露的實施例的具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構的截面圖。
參考圖3A,起始結構300包括位於基板302上方的多個閘極結構304。閘極結構304可以各自包括閘極電極及閘極介電質。在閘極結構304凹陷的情況下,閘極絕緣蓋層310位於每個閘極結構304上,如圖所示。介電間隔件306(例如,碳摻雜氧化矽(SiOC)間隔件或SiOCN間隔件)沿著閘極結構304的側面形成。導電溝槽接觸結構308位於相鄰閘極結構304的介電間隔件306之間。
參考圖3B,導電溝槽接觸結構308被凹陷以形成導電溝槽接觸結構308A。在一個實施例中,導電溝槽接觸結構308被凹陷以形成具有與閘極結構304的頂面共平面的頂面的導電溝槽接觸結構308A,如圖所示。在另一個實施例中,導電溝槽接觸結構308被凹陷以形成具有位於閘極結構304的頂面上方的頂面的導電溝槽接觸結構308A。在另一個實施例中,導電溝槽接觸結構308被凹陷以形成具有位於閘極結構304的頂面之下的頂面的導電溝槽接觸結構308A。在一個實施例中,導電溝槽接觸結構308A包含鎢。
參考圖3C,從圖3B的結構中去除閘極絕緣蓋層310。
參考圖3D,在圖3C的結構上共形地形成介電間隔件形成層312。在一實施例中,介電間隔件形成層312由氮化矽組成。在一個實施例中,使用原子層沉積(ALD)形成介電間隔件形成層312。在一實施例中,隨後形成的介電間隔件將隨後形成的接觸彼此電隔離。
參考圖3E,各向異性蝕刻間隔件形成層312以在閘極結構304上形成間隔件312A,並在導電溝槽接觸結構308A上形成介電間隔件312B。
參考圖3F,導電蓋314A形成於間隔件312A之間的閘極結構304上。導電蓋314B形成於間隔件312B之間的導電溝槽接觸結構308A上。然後在該結構上方形成蝕刻停止層316。在一實施例中,導電蓋314A及314B由鎢組成或包含鎢。在一個實施例中,蝕刻停止層316是或包含氧化鋁、Al 2O 3或AlN。
參考圖3G,在圖3F的結構上形成層間介電層318。
參考圖3H,例如透過微影及蝕刻製程在層間介電層318中形成開口320及322。開口320位於導電溝槽接觸結構308A中之一者上方。開口322位於閘極結構304中之一者上方。
參考圖3I,蝕刻停止層316透過開口320及322被蝕刻,分別形成開口320A及322A。蝕刻可能不完全,留下蝕刻停止層316的剩餘部分316B及316C,如圖所示。
參考圖3J,在圖3I的結構上方形成導電材料324。
參考圖4,導電材料324被平坦化以分別在開口320A及322A中形成導電結構326及328。導電結構326及導電蓋314B的組合可以稱為錐形溝槽接觸。導電結構328及導電蓋314A的組合可稱為錐形閘極接觸。
再次參考圖3I及4,根據本揭露的實施例,積體電路結構400包括位於基板302上方的多個閘極結構304/304A。多個閘極結構304中的個別多者在其上具有介於側壁間隔件312A之間的導電蓋314A。多個導電溝槽接觸結構308A/308B與多個閘極結構304/304A交替設置。多個導電溝槽接觸結構308A/308B中的個別多者在其上具有介於側壁間隔件312B之間的導電蓋314B。蝕刻停止層316A位於多個閘極結構304/304A上方及多個導電溝槽接觸結構308A/308B上方。層間介電材料318A位於蝕刻停止層316A上方。
開口322A位於層間介電材料318A中及蝕刻停止層316A中。開口322A暴露多個閘極結構304/304A中的一個304A上的導電蓋314A及側壁間隔件312A。導電結構328位於開口322A中。導電結構328與多個閘極結構304/304A中的一個304A上的導電蓋314A及側壁間隔件312A直接接觸。在一個實施例中,積體電路結構400還包括沿著多個閘極結構304/304A中的一個304A的側面的介電間隔件306。如圖所示,開口322A暴露部分介電間隔件306。在一實施例中,如圖所示,積體電路結構400還包括導電結構328與導電蓋314A之間的蝕刻停止層的剩餘部分316C。
開口320A位於層間介電材料318A及蝕刻停止層316A中。開口320A暴露多個導電溝槽接觸結構308A/308B中的一個308B上的導電蓋314B及側壁間隔件312B。導電結構326位於開口320A中。導電結構326與多個導電溝槽接觸結構308A/308B中的一個308B上的導電蓋314B及側壁間隔件312B直接接觸。在一個實施例中,積體電路結構400還包括沿著多個導電溝槽接觸結構308A/308B中的一個308B的側面的介電間隔件306。如圖所示,開口320A暴露部分介電間隔件306。如圖所示,在一個實施例中,積體電路結構400還包括位於導電結構326與導電蓋314B之間的蝕刻停止層的剩餘部分316B。
如圖所示,在一個實施例中,導電結構326或328具有與層間介電材料318A的最上表面共平面的最上表面。在一實施例中,蝕刻停止層316A(以及剩餘部分316B及316C)包含鋁及氧。在一實施例中,側壁間隔件312A或312B包含矽及氮。
根據本揭露的一個或多個實施例,實施側壁間隔件及蝕刻停止層以提供對TILA/GILA的改進的通孔接觸選擇性及實質上改進的產量。在一個實施例中,通孔開口蝕刻以非常高的選擇性落在蝕刻停止層上。
作為示例性製造方案,起始結構包括設置在基板上方的一個或多個閘極堆疊結構。閘極堆疊結構可包括閘極介電層及閘極電極。溝槽接觸,例如與基板的擴散區或形成在基板內的磊晶區的接觸,透過介電間隔件與閘極堆疊結構隔開。絕緣蓋層可設置在閘極堆疊結構(例如,GILA)上。在一個實施例中,可由層間介電材料製成的接觸阻擋區或「接觸塞」被包括在要阻擋接觸形成的區域中。
在一個實施例中,接觸圖案基本上與現有的閘極圖案完美對齊,同時消除了具有非常緊縮的對準預算的微影操作的使用。在一個這樣的實施例中,這種方法能夠使用本質上高度選擇性的濕式蝕刻(或各向異性乾式蝕刻製程,其中一些是非電漿、氣相各向同性蝕刻(例如,相對於經典的乾式蝕刻或等離子體蝕刻)來生成接觸開口。在一個實施例中,透過將現有的閘極圖案與接觸插塞結合的微影操作來形成接觸圖案。在一個這樣的實施例中,該方法能夠消除對其他方法中使用的用於生成接觸圖案的其他關鍵微影操作的需要。這也允許完美或接近完美的自對準,並具有更大的邊緣配置誤差裕度。在一個實施例中,溝槽接觸網格不是單獨圖案化的,而是形成於多晶(閘極)線之間。例如,在一個這樣的實施例中,在閘極光柵圖案化之後但在閘極光柵切割之前形成溝槽接觸網格。
此外,閘極堆疊結構可以透過替代閘極製程來製造。在這樣的方案中,可以去除諸如多晶矽或氮化矽柱材料的虛擬閘極材料並用永久閘極電極材料來代替。在一個這樣的實施例中,在該製程中還形成了永久閘極介電層,這與從早期製程進行的相反。在一個實施例中,透過乾式蝕刻或濕式蝕刻製程去除虛擬閘極。在一實施例中,虛擬閘極由多晶矽或非晶矽組成,並用包含SF 6的乾式蝕刻製程去除。在另一個實施例中,虛擬閘極由多晶矽或非晶矽組成,並用包含NH 4OH水溶液或氫氧化四甲基銨的濕式蝕刻製程去除。在一個實施例中,虛擬閘極由氮化矽組成,並用包含磷酸水溶液的濕式蝕刻去除。
在一個實施例中,本文描述的一種或多種方法基本上考慮了與虛擬及替換接觸製程相結合的虛擬及替換閘極製程。在一個這樣的實施例中,在替換閘極製程之後執行替換接觸製程以允許永久閘極堆疊的至少一部分的高溫退火。例如,在特定的此類實施例中,例如在形成閘極介電層之後,在大於約攝氏600度的溫度下執行永久閘極結構的至少一部分的退火。在形成永久接觸之前執行退火。
接著,可以使溝槽接觸凹陷以提供高度低於相鄰間隔件的頂面的凹陷溝槽接觸。然後在凹陷溝槽接觸(例如,TILA)上形成絕緣蓋層。根據本揭露的實施例,凹陷溝槽接觸上的絕緣蓋層由具有與閘極堆疊結構上的絕緣蓋層不同的蝕刻特性的材料組成。
可透過對間隔件及閘極絕緣蓋層的材料有選擇性的製程使溝槽接觸凹陷。例如,在一個實施例中,透過諸如濕式蝕刻製程或乾式蝕刻製程的蝕刻製程使溝槽接觸凹陷。溝槽接觸絕緣蓋層可透過適合於在溝槽接觸的暴露部分上方提供共形且密封層的製程來形成。例如,在一個實施例中,透過化學氣相沉積(CVD)製程形成溝槽接觸絕緣蓋層作為整個結構上方的共形層。然後共形層被平坦化,例如透過化學機械拋光(CMP),以僅在凹陷溝槽接觸上方提供溝槽接觸絕緣蓋層材料。
關於閘極或溝槽接觸絕緣蓋層的合適材料組合,在一個實施例中,一對閘極對溝槽接觸絕緣蓋材料中的一個由氧化矽組成,而另一個由氮化矽組成。在另一個實施例中,一對閘極對溝槽接觸絕緣蓋材料中的一個由氧化矽組成,而另一個由碳摻雜的氮化矽組成。在另一個實施例中,一對閘極對溝槽接觸絕緣蓋材料中的一個由氧化矽組成,而另一個由碳化矽組成。在另一個實施例中,一對閘極對溝槽接觸絕緣蓋材料中的一個由氮化矽組成,而另一個由碳摻雜的氮化矽組成。在另一個實施例中,一對閘極對溝槽接觸絕緣蓋材料中的一個由氮化矽構成,而另一個由碳化矽構成。在另一實施例中,一對閘極對溝槽接觸絕緣蓋材料中的一個由碳摻雜的氮化矽組成,而另一個由碳化矽組成。
作為示例性結構,圖5顯示了根據本揭露的實施例的具有溝槽接觸及閘極接觸的積體電路結構的平面圖及對應的截面圖。
參考圖5,積體電路結構500包括位於半導體基板或鰭片502,例如矽鰭片,上方的閘極線504。閘極線504包括閘極堆疊505(例如,包括閘極介電層或堆疊及閘極介電層或堆疊上的閘極電極)及閘極堆疊505上的閘極絕緣蓋層506。如圖所示,介電間隔件508沿著閘極堆疊505的側壁,並且在一個實施例中,沿著閘極絕緣蓋層506的側壁。這也適用於環繞式閘極(GAA)架構以及鰭式場效電晶體 (finfet)。
溝槽接觸510與閘極線504的側壁相鄰,而介電間隔件508位於閘極線504與溝槽接觸510之間。個別多者溝槽接觸510包括導電接觸結構511及導電接觸結構511上的溝槽接觸絕緣蓋層512。
再次參考圖5,閘極接觸通孔514形成於閘極堆疊505的導電蓋部分(未描繪,而是與圖4相關聯的描述)上或於閘極堆疊505上。在一個實施例中,如圖所示,閘極接觸通孔514在半導體基板或鰭502上方的位置處並且橫向地在溝槽接觸510之間的位置處電接觸閘極堆疊505。在一個這樣的實施例中,導電接觸結構511上的溝槽接觸絕緣蓋層512透過閘極接觸通孔514防止閘極至源極短路或閘極至汲極短路。
再次參考圖5,在溝槽接觸絕緣蓋層512的開口中形成溝槽接觸通孔516並且電接觸對應的導電接觸結構511。在一個實施例中,如圖所示,溝槽接觸通孔516在半導體基板或鰭502上方且橫向鄰近閘極線504的閘極堆疊505的位置處電接觸對應的導電接觸結構511。在一個這樣的實施例中,閘極堆疊505上的閘極絕緣蓋層506透過溝槽接觸通孔516防止源極至閘極短路或汲極至閘極短路。
應當理解,可以製造絕緣閘極蓋層及絕緣溝槽接觸蓋層之間的不同結構關係。作為示例,圖6A-6F顯示了根據本揭露的實施例的各種積體電路結構的截面圖,每個積體電路結構具有包括覆蓋絕緣蓋層的溝槽接觸並且具有包括覆蓋絕緣蓋層的閘極堆疊。
參考圖6A、6B及6C,積體電路結構600A、600B及600C分別包括鰭片602,例如矽鰭片。儘管被描繪為橫截面圖,但是應當理解,鰭片602具有頂部602A及側壁(進入及離開所示透視圖的頁面)。第一閘極介電層604及第二閘極介電層606位於鰭片602的頂部602A上方並且橫向鄰近鰭片602的側壁。第一閘極電極608及第二閘極電極610分別位於第一閘極介電層604及第二閘極介電層606上方,位於鰭片602的頂部602A上方並且橫向鄰近鰭片602的側壁。第一閘極電極608及第二閘極電極610均包括共形導電層609A,例如工作功能設置層,以及位於共形導電層609A上方的導電填充材料609B。第一閘極電極608及第二閘極電極610均具有第一側612及與第一側612相對的第二側614。第一閘極電極608及第二閘極電極610也都具有絕緣蓋616,絕緣蓋616具有頂面618。
第一介電間隔件620與第一閘極電極608的第一側612相鄰。第二介電間隔件622與第二閘極電極610的第二側614相鄰。半導體源極或汲極區624與第一介電間隔件620及第二介電間隔件622相鄰。溝槽接觸結構626位於與第一介電間隔件620及第二介電間隔件622相鄰的半導體源極或汲極區624上方。
溝槽接觸結構626包括位於導電結構630上的絕緣蓋628。溝槽接觸結構626的絕緣蓋628具有與第一閘極電極608及第二閘極電極610的絕緣蓋616的頂面618實質上共平面的頂面629。在一個實施例中,溝槽接觸結構626的絕緣蓋628橫向延伸到第一介電間隔件620及第二介電間隔件622中的凹槽632中。在這樣的實施例中,溝槽接觸結構626的絕緣蓋628懸垂在溝槽接觸結構626的導電結構630上方。然而,在其他實施例中,溝槽接觸結構626的絕緣蓋628不橫向延伸到第一介電間隔件620及第二介電間隔件622中的凹槽632中,因此不懸垂在溝槽接觸結構626的導電結構630上。
應當理解,溝槽接觸結構626的導電結構630可以不是矩形,如圖6A-6C所示。例如,溝槽接觸結構626的導電結構630可以具有與圖6A的投影中所示的導電結構630A所示的幾何形狀相似或相同的橫截面幾何形狀。
在一個實施例中,溝槽接觸結構626的絕緣蓋628具有與第一閘極電極608及第二閘極電極610的絕緣蓋616的成分不同的成分。在一個這樣的實施例中,溝槽接觸結構626的絕緣蓋628包括碳化物材料,例如碳化矽材料。第一閘極電極608及第二閘極電極610的絕緣蓋616包括氮化物材料,例如氮化矽材料。
在一個實施例中,第一閘極電極608及第二閘極電極610的絕緣蓋616皆具有位於溝槽接觸結構626的絕緣蓋628的底面628A下方的底面617A,如圖6A所示。在另一實施例中,第一閘極電極608及第二閘極電極610的絕緣蓋616皆具有與溝槽接觸結構626的絕緣蓋628的底面628B實質上共平面的底面617B,如圖6B所示。在另一個實施例中,第一閘極電極608及第二閘極電極610的絕緣蓋616皆具有位於溝槽接觸結構626的絕緣蓋628的底面628C上方的底面617C,如圖6C所示。
在一個實施例中,溝槽接觸結構628的導電結構630包括U形金屬層634、位於整個U形金屬層634上及上方的T形金屬層636以及T形金屬層636上的第三金屬層638。溝槽接觸結構626的絕緣蓋628位於第三金屬層638上。在一個這樣的實施例中,第三金屬層638及U形金屬層634包含鈦,且T形金屬層636包含鈷。在特定的這樣的實施例中,T形金屬層636還包含碳。
在一個實施例中,金屬矽化物層640直接位於溝槽接觸結構626的導電結構630與半導體源極或汲極區624之間。在一個這樣的實施例中,金屬矽化物層640包含鈦及矽。在特定的這樣的實施例中,半導體源極或汲極區624是N型半導體源極或汲極區。在另一個實施例中,金屬矽化物層640包含鎳、鉑及矽。在特定的這樣的實施例中,半導體源極或汲極區624是P型半導體源極或汲極區。在另一個特定的這樣的實施例中,金屬矽化物層還包含鍺。
在一個實施例中,參考圖6D,導電通孔650位於鰭片602的頂部602A上方的第一閘極電極608的導電蓋部分(未描繪,而是如與圖4關聯所描述的)上並與其電連接。導電通孔650位於介電蝕刻停止層650及層間介電材料層652中的開口中。在一個這樣的實施例中,導電通孔650位於溝槽接觸結構626的絕緣蓋628的一部分上,但是沒有電連接到溝槽接觸結構626的導電結構630。
在一個實施例中,參考圖6E,導電通孔660位於溝槽接觸結構626的一部分上並與其電連接。導電通孔位於第一介電蝕刻停止層650(例如與第一介電蝕刻停止層512相關的描述)及第二介電蝕刻停止層652(例如與第二介電蝕刻停止層514相關的描述)中的開口中,進一步在溝槽接觸結構626的絕緣蓋628的開口662中。在一個這樣的實施例中,導電通孔660位於第一閘極電極608及第二閘極電極610的絕緣蓋616的一部分上,但是沒有電連接到第一閘極電極608及第二閘極電極610。在特定的這樣的實施例中,導電通孔660位於第一閘極電極608及第二閘極電極610的絕緣蓋616的侵蝕部分664中。
再次參考圖6E,在一個實施例中,導電通孔660是與圖6D的導電通孔650具有相同結構的第二導電通孔。在一個這樣的實施例中,這樣的第二導電通孔660與導電通孔650隔離。在另一個這樣的實施例中,例如第二導電通孔660與導電通孔650合併以形成電短路接觸670,如圖6F所示。
在此描述的方法及結構可以實現使用其他方法不可能或難以製造的其他結構或元件的形成。在第一示例中,圖7A顯示了根據本揭露的另一實施例的具有設置於閘極的主動部分上方的閘極接觸通孔的另一半導體元件的平面圖。參考圖7A,半導體結構或元件700包括與多個溝槽接觸710A及710B交叉的多個閘極結構708A-708C(這些特徵設置於基板的主動區上方,未顯示)。閘極接觸通孔780形成於閘極結構708B的主動部分上。閘極接觸通孔780進一步設置於閘極結構708C的主動部分上,其將閘極結構708B與閘極結構708C耦合。應當理解,中間溝槽接觸710B可透過使用溝槽接觸隔離蓋層與接觸780隔離。圖7A的接觸配置可提供一種更簡單的方法來在佈局中捆紮相鄰的閘極線,而無需將捆紮帶穿過金屬化的上層,從而實現更小的單元面積或較不複雜的佈線方案,或兩者兼具。
在第二示例中,圖7B顯示了根據本揭露的另一實施例的具有透過耦合一對溝槽接觸的溝槽接觸的另一半導體元件的平面圖。參考圖7B,半導體結構或元件750包括與多個溝槽接觸760A及760B交叉的多個閘極結構758A-758C(這些特徵設置於基板的主動區上方,未顯示)。溝槽接觸通孔790形成於溝槽接觸760A上。溝槽接觸通孔790進一步設置於溝槽接觸760B上,其將溝槽接觸760A與溝槽接觸760B耦合。應當理解,中間閘極結構758B可以透過使用閘極隔離蓋層(例如,透過GILA製程)與溝槽接觸通孔790隔離。圖7B的接觸配置可提供一種更簡單的方法來在佈局中捆紮相鄰的溝槽接觸,而無需將捆紮帶穿過金屬化的上層,從而實現更小的單元面積或較不複雜的佈線方案,或兩者兼具。
用於閘極電極的絕緣蓋層可使用多個沉積操作來製造,並且因此可包括多沉積製程的人工製品。作為示例,圖8A-8E顯示了截面圖,其表示根據本揭露的實施例的製造具有包括覆蓋絕緣蓋層的閘極堆疊的積體電路結構的方法中的各種操作。
參考圖8A,起始結構800包括位於基板或鰭802上方的閘極堆疊804。閘極堆疊804包括閘極介電層806、共形導電層808及導電填充材料810。在一個實施例中,閘極介電層806是使用原子層沉積(ALD)製程形成的高k閘極介電層,並且共形導電層808是使用ALD製程形成的工作功能層。在一個這樣的實施例中,熱或化學氧化層812,例如熱或化學二氧化矽或氧化矽層,位於基板或鰭802與閘極介電層806之間。介電間隔件814,例如氮化矽間隔件,與閘極堆疊804的側壁相鄰。介電閘極堆疊804及介電間隔件814容納於層間介電(ILD)層816中。在一個實施例中,使用替換閘極及替換閘極介電質處理方案形成閘極堆疊804。遮罩818於閘極堆疊804及ILD層816上方被圖案化以提供暴露閘極堆疊804的開口820。
參考圖8B,使用一個或多個選擇性蝕刻製程,將包括閘極介電層806、共形導電層808及導電填充材料810的閘極堆疊804相對於介電間隔件814及ILD層816凹陷。然後去除遮罩818。
在未描繪的另一實施例中,共形導電層808及導電填充材料810相對於介電間隔件814及ILD層816凹陷,但閘極介電層806不凹陷或僅最低限度地凹陷。應當理解,在其他實施例中,基於高蝕刻選擇性的無遮罩方法用於凹陷。
參考圖8C,執行用於製造閘極絕緣蓋層的多沉積製程中的第一沉積製程。第一沉積製程用於形成與圖8B的結構共形的第一絕緣層826。在一個實施例中,第一絕緣層826包含矽及氮,例如,第一絕緣層826為氮化矽(Si 3N 4)層、富矽氮化矽層、貧矽氮化矽層或碳摻雜氮化矽層。在一個實施例中,第一絕緣層826僅部分地填充凹陷閘極堆疊824上方的空腔822,如圖所示。
參考圖8D,第一絕緣層826經受回蝕製程,例如各向異性蝕刻製程,以提供絕緣蓋層的第一部分828。絕緣蓋層的第一部分828僅部分填充凹陷閘極堆疊824上方的空腔822。
參考圖8E,執行額外的交替沉積製程及回蝕製程,直到空腔822被凹陷閘極堆疊824上方的絕緣閘極蓋結構830填充。接縫832在橫截面分析中可能是明顯的並且可以表示用於絕緣閘極蓋結構830的交替沉積製程及回蝕製程的數量。在圖8E所示的示例中,三組接縫832A、832B及832C的存在表示用於絕緣閘極蓋結構830的四個交替沉積製程及回蝕製程。在一個實施例中,被接縫832分開的絕緣閘極蓋結構830的材料830A、830B、830C及830D皆具有完全或實質上相同的成分。
如本申請通篇所述,基板可以由能夠經受製造過程並且其中電荷能夠遷移的半導體材料組成。在一個實施例中,本文描述的基板是由摻雜有電荷載子的晶體矽、矽/鍺或鍺層組成的塊狀基板,所述電荷載子例如,但不限於,磷、砷、硼或其組合,以形成主動區。在一個實施例中,這種塊狀基板中矽原子的濃度大於97%。在另一個實施例中,塊狀基板由成長於不同晶體基板頂上的磊晶層組成,例如在摻雜硼塊矽單晶基板頂上成長的矽磊晶層。塊狀基板可以替代地由III-V族材料組成。在一個實施例中,塊狀基板由III-V族材料組成,例如,但不限於,氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵,或其組合。在一個實施例中,塊狀基板由III-V族材料組成並且電荷載子摻雜劑雜質原子是例如,但不限於,碳、矽、鍺、氧、硫、硒或碲的原子。
如本申請通篇所述,隔離區,例如淺溝槽隔離區或子鰭隔離區,可以由適合於最終電隔離或有助於隔離永久閘極結構的一部分與下層的塊狀基板或隔離形成於下層的塊狀基板內的主動區,例如隔離鰭主動區,的材料組成。例如,在一個實施例中,隔離區由介電材料的一層或多層組成,例如,但不限於,二氧化矽、氮氧化矽、氮化矽、碳摻雜氮化矽或其組合。
如本申請通篇所述,閘極線或閘極結構可由閘極電極堆疊構成,閘極電極堆疊包括閘極介質層及閘極電極層。在一個實施例中,閘極電極堆疊的閘極電極由金屬閘極構成,且閘極介質層由高k材料組成。例如,在一個實施例中,閘極介電層由包含,但不限於,氧化鉿、氮氧化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鍶鋇、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、鉛鈧鉭氧化物、鉛鋅鈮酸鋅,或其組合的材料組成。此外,閘極介電層的一部分可包括由半導體基板的頂部幾層形成的自然氧化物的一層。在一個實施例中,閘極介電層由頂部高k部分及由半導體材料的氧化物組成的下部組成。在一個實施例中,閘極介電層由氧化鉿的頂部及二氧化矽或氮氧化矽的底部組成。在一些實施方式中,閘極介電質的一部分是「U」形結構,其包括實質上平行於基板的表面的底部及實質上垂直於基板的頂面的兩個側壁部。
在一個實施例中,閘極電極由金屬層組成,例如,但不限於,金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳或導電金屬氧化物。在特定實施例中,閘極電極由形成於金屬工作功能設置層上方的非工作功能設置填充材料組成。取決於電晶體是PMOS電晶體還是NMOS電晶體,閘極電極層可以由P型工作功能金屬或N型工作功能金屬組成。在一些實施方式中,閘極電極層可以由兩個或更多個金屬層的堆疊組成,其中一個或更多個金屬層是工作功能金屬層且至少一個金屬層是導電填充層。對於PMOS電晶體,可用於閘極電極的金屬包括,但不限於,釕、鈀、鉑、鈷、鎳及導電金屬氧化物,例如氧化釕。P型金屬層將能夠形成具有工作功能介於約4.9eV與約5.2eV之間的PMOS閘極電極。對於NMOS電晶體,可用於閘極電極的金屬包括,但不限於,鉿、鋯、鈦、鉭、鋁、這些金屬的合金以及這些金屬的碳化物,例如碳化鉿、碳化鋯、碳化鈦、碳化鉭及碳化鋁。N型金屬層將能夠形成具有工作功能介於約3.9eV與約4.2eV之間的NMOS閘極電極。在一些實施方式中,閘極電極可以由「U」形結構組成,該「U」形結構包括實質上平行於基板的表面的底部及實質上垂直於基板的頂面的兩個側壁部分。在另一實施方式中,形成閘極電極的金屬層中的至少一個可以簡單地是實質上平行於基板的頂面並且不包括實質上垂直於基板的頂面的側壁部的平面層。在本揭露的進一步實施方式中,閘極電極可由U形結構及平面非U形結構的組合組成。例如,閘極電極可由形成於一層或多層平面非U形層頂上的一層或多層U形金屬層組成。
如本申請通篇所述,與閘極線或電極堆疊相關聯的間隔件可由適合於最終電隔離或有助於隔離永久閘極結構與諸如自對準接觸的相鄰導電接觸電的材料組成。例如,在一實施例中,間隔件由介電材料構成,例如,但不限於,二氧化矽、氮氧化矽、氮化矽或碳摻雜氮化矽。
在一個實施例中,如貫穿本說明書所使用的,層間介電質(ILD)材料由介電質或絕緣材料層組成或包括介電質或絕緣材料層。合適的介電材料的例子包含,但不限於,矽的氧化物(例如二氧化矽(SiO 2))、矽的摻雜氧化物、矽的氟化氧化物、矽的碳摻雜氧化物、各種已知的低k介電材料及其組合。層間介電材料可以透過諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)或其他沉積方法的技術形成。
在一個實施例中,如在本說明書中通篇所使用的,金屬線或互連線材料(及通孔材料)由一個或多個金屬或其他導電結構組成。一個常見的例子是使用銅線及結構,其在銅及周圍ILD材料之間可能包括或不包括阻擋層。如本文所用,術語金屬包括合金、堆疊及多種金屬的其他組合。例如,金屬互連線可包括阻擋層(例如,包括Ta、TaN、Ti或TiN中的一種或多種的層)、不同金屬或合金的堆疊等。因此,互連線可以是單一個材料層,或者可由包括導電襯墊層及填充層的若干層形成。任何合適的沉積製程,例如電鍍、化學氣相沉積或物理氣相沉積,都可用來形成互連線。在一個實施例中,互連線由導電材料組成,例如,但不限於,Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Au或其合金。互連線在本領域中有時也稱為跡線、導線、線、金屬或簡單地互連。
在一個實施例中,如在本說明書中也使用的,硬遮罩材料由不同於層間介電材料的介電材料組成。在一個實施例中,可在不同區域中使用不同的硬遮罩材料,以便為彼此以及下層的介電層及金屬層提供不同的成長或蝕刻選擇性。在一些實施例中,硬遮罩層包括矽的氮化物的一層(例如,氮化矽)或矽的氧化物的一層,或兩者,或其組合。其他合適的材料可包括碳基材料。在另一個實施例中,硬遮罩材料包括金屬種。例如,硬遮罩或其他覆蓋材料可包括鈦或另一種金屬(例如氮化鈦)的氮化物的一層。在這些層中的一個或多個中可包括可能更少量的其他材料,例如氧。或者,可根據特定實施方式使用本領域已知的其他硬遮罩層。硬遮罩層可以透過CVD、PVD或其他沉積方法形成。
在一個實施例中,正如在本說明書中所使用的,使用193nm浸潤式微影(i193)、極紫外(EUV)微影或電子束直寫(EBDW)微影等來執行微影操作。可以使用正性或負性抗蝕劑。在一個實施例中,微影遮罩是由形貌遮罩部分、抗反射塗層(ARC)層及光阻劑層組成的三層遮罩。在特定的此類實施例中,形貌遮罩部分是碳硬遮罩(CHM)層且抗反射塗層是矽ARC層。
在一個實施例中,在此描述的方法可以涉及與現有閘極圖案非常良好對準的接觸圖案的形成,同時消除了具有極其緊縮的對準預算的微影操作的使用。在一個這樣的實施例中,這種方法能夠使用固有的高選擇性濕式蝕刻(例如,相對於乾式蝕刻或電漿蝕刻)來產生接觸開口。在一個實施例中,透過利用現有的閘極圖案結合接觸插塞微影操作來形成接觸圖案。在一個這樣的實施例中,該方法能夠消除對其他方法中使用的用於生成接觸圖案的其他關鍵微影操作的需要。在一個實施例中,溝槽接觸網格不是單獨圖案化的,而是形成在多晶(閘極)線之間。例如,在一個這樣的實施例中,在閘極光柵圖案化之後但在閘極光柵切割之前形成溝槽接觸網格。
此外,可透過替換閘極製程製造閘極堆疊結構。在這樣的方案中,可去除諸如多晶矽或氮化矽柱材料的虛擬閘極材料並用永久閘極電極材料代替。在一個這樣的實施例中,在該製程中還形成了永久閘極介電層,這與從早期製程進行的相反。在一個實施例中,透過乾式蝕刻或濕式蝕刻製程去除虛擬閘極。在一個實施例中,虛擬閘極由多晶矽或非晶矽組成,並透過包括使用SF 6的乾式蝕刻製程去除。在另一個實施例中,虛擬閘極由多晶矽或非晶矽組成,並透過濕式蝕刻製程去除,包括使用氫氧化銨(NH 4OH)水溶液或四甲基氫氧化銨。在一個實施例中,虛擬閘極由氮化矽組成,並用包括磷酸水溶液的濕式蝕刻去除。
在一個實施例中,本文描述的一種或多種方法實質上考慮了與虛擬及替換接觸製程相結合的虛擬及替換閘極製程以達到結構。在一個這樣的實施例中,在替換閘極製程之後執行替換接觸製程以允許永久閘極堆疊的至少一部分的高溫退火。例如,在特定的此類實施例中,例如在形成閘極介電層之後,在大於約攝氏600度的溫度下執行永久閘極結構的至少一部分的退火。在形成永久接觸之前執行退火。
在一些實施例中,半導體結構或元件的佈置在隔離區上方的閘極線或閘極堆疊的一部分上方設置閘極接觸。然而,這種佈置可能被視為佈局空間的低效使用。在另一個實施例中,半導體元件具有接觸結構,其接觸形成於主動區上方的閘極電極的一部分。一般而言,於閘極的主動部分上方且在與溝槽接觸通孔相同的層中形成閘極接觸結構(例如通孔)之前(例如,除此之外),本揭露的一個或多個實施例包括首先使用閘極對齊的溝槽接觸製程。可實施此製程以形成用於半導體結構製造,例如,用於積體電路製造,的溝槽接觸結構。在一個實施例中,形成與現有閘極圖案對齊的溝槽接觸圖案。相較之下,其他方法通常涉及額外的微影製程,結合選擇性接觸蝕刻,將微影接觸圖案與現有閘極圖案緊密對準。例如,另一種製程可以包括用接觸特徵的單獨圖案化來圖案化多晶(閘極)網格。
應當理解,可實施間距劃分處理及圖案化方案以實現在此描述的實施例或可作為在此描述的實施例的一部分被包括在內。間距劃分圖案通常是指間距減半、間距四等分等。間距劃分方案可適用於FEOL處理、BEOL處理或FEOL(設備)及BEOL(金屬化)處理。根據本文所述的一個或多個實施例,首先實施光學微影以預定義間距印刷單向線(例如,嚴格單向或主要單向)。然後將間距劃分處理作為一種增加線密度的技術來實施。
在一個實施例中,用於鰭、閘極線、金屬線、ILD線或硬遮罩線的術語「光柵結構」在本文中用於指的是緊密間距的光柵結構。在一個這樣的實施例中,不能透過選擇的微影直接實現緊密間距。例如,可以首先形成基於選定微影的圖案,但是可以透過使用間隔遮罩圖案化來將間距減半,如本領域中已知的。更進一步,原始間距可透過間隔件遮罩圖案化的第二輪而被四等分。因此,本文所述的似光柵圖案可具有以實質上一致的間距間隔開且具有實質上一致的寬度的金屬線、ILD線或硬遮罩線。例如,在一些實施例中,間距變化將在百分之十以內且寬度變化將在百分之十以內,並且在一些實施例中,間距變化將在百分之五以內且寬度變化將在百分之五以內。可以透過間距減半或間距四等分或其他間距劃分方法來製造圖案。在一個實施例中,光柵不一定是單間距。
在一個實施例中,使用微影及蝕刻處理來圖案化覆蓋膜,其可以涉及,例如,基於間隔件的雙圖案化(SBDP)或間距減半,或基於間隔件的四倍圖案化(SBQP)或間距四等分。應當理解,也可以實施其他音調劃分方法。在任何情況下,在一個實施例中,網格佈局可以透過選定的微影方法製造,例如193nm浸潤微影(193i)。可以實現間距劃分以將網格佈局中的線密度增加n倍。使用193i微影加上間距劃分為「n」的網格佈局形成可以指定為193i+P/n間距劃分。在一個這樣的實施例中,193nm浸潤式縮放可透過具有成本效益的間距劃分擴展多代。
還應理解,並非上述過程的所有方面都需要實踐以落入本揭露的實施例的精神及範圍內。例如,在一個實施例中,在閘極堆疊的主動部分上方製造閘極接觸之前,不需要形成虛擬閘極。上述閘極堆疊實際上可以是最初形成的永久閘極堆疊。此外,本文所述的製程可用於製造一個或多個半導體元件。半導體元件可以是電晶體或類似元件。例如,在一個實施例中,半導體元件是用於邏輯或記憶體的金屬氧化物半導體(MOS)電晶體,或者是雙極電晶體。此外,在一個實施例中,半導體元件具有三維架構,例如三閘極元件、獨立接取的雙閘極元件或FIN-FET。一個或多個實施例對於以10奈米(10nm)技術節點次10奈米(10nm)技術節點製造半導體元件可能特別有用。
FEOL層或結構製造的附加或中間操作可能包括標準微電子製程,例如微影、蝕刻、薄膜沉積、平坦化(例如化學機械拋光(CMP))、擴散、量測、犧牲層的使用、蝕刻停止層的使用、平坦化停止層的使用或任何其他與微電子組件製造相關的操作。此外,應當理解,針對前述製程流程描述的製程操作可以以替代順序實施,不是每個操作都需要執行或可執行額外的製程操作,或者這兩者兼具。
本文揭露的實施例可用於製造多種不同類型的積體電路或微電子元件。這種積體電路的示例包括,但不限於,處理器、晶片組組件、圖形處理器、數位訊號處理器、微控制器等。在其他實施例中,可以製造半導體記憶體。此外,積體電路或其他微電子元件可用於本領域已知的多種電子元件中。例如,在計算機系統(例如台式機、筆記本電腦、服務器)、行動電話、個人電子產品等中。積體電路可以與系統中的總線及其他組件耦合。例如,處理器可以透過一條或多條總線耦合到記憶體、晶片組等。處理器、記憶體及晶片組中的每一個都可能使用本文揭露的方法製造。
圖9顯示了根據本揭露的一種實現方式的計算裝置900。計算裝置900容置有板體902。板體902可包括多個組件,包括,但不限於,處理器904及至少一個通訊晶片906。處理器904物理及電耦合至板體902。在一些實施方式中,至少一個通訊晶片906也物理地及電地耦合至板體902。在進一步的實施方式中,通訊晶片906是處理器904的一部分。
計算裝置900,取決於其應用,可包括可或非物理及電耦合至板體902的其他組件。這些其他組件包括,但不限於,揮發性記憶體(例如DRAM)、非揮發性記憶體(例如ROM)、快閃記憶體、圖形處理器、數位訊號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視頻編解碼器、功率放大器、全球定位系統(GPS)設備、指南針、加速度計、陀螺儀、揚聲器、相機,以及大容量儲存設備(例如硬碟驅動器、光碟(CD)、數位通用磁碟(DVD)等)。
通訊晶片906實現無線通訊,用於向計算裝置900傳輸資料及從計算裝置900傳輸資料。術語「無線」及其衍生詞可用於描述電路、裝置、系統、方法、技術、通訊頻道等,這些可由非固態媒體透過調變電磁輻射的使用來傳送資料。該術語並不暗示相關聯的裝置不包含任何電線,儘管在一些實施例中它們可能不包含。通訊晶片906可以實現多種無線標準或協定中的任何一種,包括,但不限於,Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙及其衍生物,以及任何其他指定為3G、4G、5G及更高版本的無線協定。計算裝置900可包括多個通訊晶片906。例如,第一通訊晶片906可專用於諸如Wi-Fi及藍牙之類的短距離無線通訊,而第二通訊晶片906可以專用於諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等之類的長距離無線通訊。
計算裝置900的處理器904包括封裝在處理器904內的積體電路晶粒。在本揭露的實施例的一些實現中,處理器的積體電路晶粒包括一個或多個結構,例如根據本揭露的實現建構的積體電路結構。術語「處理器」可以指處理來自暫存器或記憶體或兩者的電子資料,以將該電子資料轉換成可儲存在暫存器或記憶體或兩者中的其他電子資料的任何裝置或裝置的一部分。
通訊晶片906還包括封裝於通訊晶片906內的積體電路晶粒。根據本揭露的另一實施方式,根據本揭露的實施方式建構通訊晶片的積體電路晶粒。
在進一步的實施方式中,容置於計算裝置900內的另一個組件可包括根據本揭露的實施例的實施方式建構的積體電路晶粒。
在各種實施例中,計算裝置900可以是膝上型電腦、小筆電、筆記型電腦、超極筆電、智慧手機、平板電腦、個人數位助理(PDA)、超極行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描儀、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器或數位錄影機。在進一步的實施方式中,計算裝置900可以是處理資料的任何其他電子裝置。
圖10顯示了包括本揭露的一個或多個實施例的中介層1000。中介層1000是用於將第一基板1002橋接到第二基板1004的中介基板。第一基板1002可以例如是積體電路晶粒。第二基板1004可以例如是記憶體模組、計算機主機板或其他積體電路晶粒。通常,中介層1000的目的是將連接擴展到更寬的間距或將連接重新布線到不同的連接。例如,中介層1000可以積體電路晶粒耦合至球柵陣列(BGA)1006,球柵陣列(BGA)1006隨後可以耦合至第二基板1004。在一些實施例中,第一基板及第二基板1002/1004連接到中介層1000的相對側。在其他實施例中,第一基板及第二基板1002/1004連接到中介層1000的同一側。並且在進一步的實施例中,三個或更多個基板透過中介層1000互連。
中介層1000可由環氧樹脂、玻璃纖維強化環氧樹脂、陶瓷材料或諸如聚醯亞胺的聚合物材料形成。在進一步的實施方式中,中介層可由交替的剛性或柔性材料形成,這些材料可包含與上述用於半導體基板的材料相同的材料,例如矽、鍺及其他III-V族及IV族材料。
中介層1000可包括金屬互連1008及通孔1010,包括,但不限於,矽通孔(TSV)1012。中介層1000還可包括嵌入式裝置1014,包括非主動及主動裝置。此類裝置包括,但不限於,電容器、去耦電容器、電阻器、電感器、保險絲、二極體、變壓器、感測器及靜電放電(ESD)裝置。還可以在中介層1000上形成更複雜的裝置,例如射頻(RF)裝置、功率放大器、電源管理裝置、天線、陣列、感測器及MEMS裝置。根據本揭露的實施例,本文揭露的裝置或製程可用於中介層1000的製造或中介層1000中包括的組件的製造。
圖11是根據本揭露的實施例的採用根據本文所述的一個或多個製程製造或包括本文所述的一個或多個特徵的積體電路(IC)的行動計算平台1100的等角視圖。
行動計算平台1100可以配置用於電子資料顯示、電子資料處理及無線電子資料傳輸中的每一者的任意可攜式裝置。例如,行動計算平台1100可以是平板電腦、智慧電話、膝上型電腦等中的任一者,並且包括在示例性實施例中是觸控螢幕(電容式、電感式、電阻式等)的顯示螢幕1105,晶片級(SoC)或封裝級整合系統1110及電池1113。如圖所示,由更高的電晶體封裝密度實現的系統1110中的整合程度越高,行動計算平台1100可被電池1113或非揮發性記憶體,例如固態驅動器,或更多的電晶體閘極數量佔據的部分越大,以改進平台功能。類似地,系統1110中每個電晶體的載子遷移率越大,功能越強。因此,本文描述的技術可以實現行動計算平台1100中的性能及形成因素的改進。
在擴展視圖1120中進一步說明了集成系統1110。在示例性實施例中,封裝裝置1177包括根據本文所述的一種或多種製程製造的,或包括本文所述的一個或多個特徵,的至少一個記憶體晶片(例如,RAM)或至少一個處理器晶片(例如,多核心微處理器及/或圖形處理器)。封裝裝置1177還與電源管理積體電路(PMIC)1115、RF(無線)積體電路(RFIC)1125及其控制器1111中的一個或多個一起耦合至板體1160,其中RFIC 1125包括寬帶RF(無線)發射器及/或接收器(例如,包括數位基帶及類比前端模組,還包括發射路徑上的功率放大器及接收路徑上的低雜訊放大器)。功能上來說,PMIC 1115執行電池功率調節、DC對DC轉換等,因此具有耦合至電池1113的輸入及向所有其他功能模組提供電流供應的輸出。如進一步說明的,在示例性實施例中,RFIC 1125具有耦合至天線的輸出,以提供實施多種無線標準或協定中的任一種,包括,但不限於,Wi-Fi (IEEE 802.11系列)、WiMAX (IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及任何指定用於3G、4G、5G及更高版本的其他無線協定。在替代實施方式中,這些板級模組中的每一個都可以整合於耦合至封裝元件1177的封裝基板的單獨IC上,或者整合於耦合至封裝元件1177的封裝基板的單個IC (SoC)內。
在另一方面,半導體封裝用於保護積體電路(IC)晶片或晶粒,並且還為晶粒提供到外部電路的電介面。隨著對小型電子裝置的需求不斷增加,半導體封裝設計得更加緊湊,並且必須支持更大的電路密度。此外,對更高性能元件的需求導致對改進的半導體封裝的需求,其能夠實現與後續組裝處理相容的薄封裝外形及低整體翹曲。
在一個實施例中,使用導線接合到陶瓷或有機封裝基板。在另一個實施例中,C4製程用於將晶粒安裝到陶瓷或有機封裝基板。特別地,可以實施C4焊球連接以提供半導體元件與基板之間的覆晶互連。覆晶或受控崩潰晶片連接(C4)是一種用於半導體元件(例如積體電路(IC)晶片、MEMS或組件)的安裝類型,其使用焊料凸塊取代導線接合。焊料凸塊沉積於C4焊墊上,位於基板封裝的頂部。為了將半導體元件安裝至基板上,將其以主動面朝向下覆裝於安裝區上。焊料凸塊用於將半導體元件直接連接到基板。
圖12顯示了根據本揭露的實施例的覆晶安裝晶粒的截面圖。
參考圖12,根據本揭露的實施例,裝置1200包括晶粒1202,例如根據本文所述的一個或多個製程製造或包括本文所述的一個或多個特徵的積體電路(IC)。晶粒1202包括其上的金屬化焊墊1204。封裝基板1206,例如陶瓷或有機基板,在其上包括連接件1208。晶粒1202及封裝基板1206透過與金屬化焊墊1204及連接件1208耦合的焊球1210電連接。底部填充材料1212圍繞焊球1210。
處理覆晶可能類似於傳統的IC製造,但有一些額外的操作。在製造過程接近尾聲時,連接焊墊被金屬化,使其更容易接受焊接。這通常包括幾種處理。在每個金屬化焊墊上沉積一小點焊料。像往常一樣從晶圓上切割出晶片。為了將覆晶連接到電路中,將晶片反轉以使焊點向下放到下面的電子元件或電路板上的連接件上。然後將焊料重新熔化以產生電連接,通常使用超音波或回流焊接製程。這也在晶片電路與底層安裝之間留下了一個小空間。在大多數情況下,然後對電絕緣黏著劑進行「底部填充」,以提供更強的機械連接、提供熱橋,並確保焊點不會因晶片及系統其餘部分的加熱差異而受到壓力。
在其他實施例中,根據本揭露的實施例,實施更新的封裝及晶粒對晶粒互連方法,例如矽通孔(TSV)及矽中介層,以製造高性能多晶片模組(MCM)及系統級封裝(SiP),其包含根據本文所述的一種或多種製程製造或包括本文所述的一種或多種特徵的積體電路(IC)。
因此,本揭露的實施例包括具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構,以及製造具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構的方法。
儘管上面已經描述了特定實施例,但是這些實施例並不旨在限制本揭露的範圍,即使關於特定特徵僅描述了單一個實施例。除非另有說明,本揭露中提供的特徵的示例旨在說明性而非限制性。以上描述旨在涵蓋對受益於本揭露的本領域技術人員而言顯而易見的替代、修改及等同物。
本揭露的範圍包括本文揭露的任何特徵或特徵的組合(明確地或隱含地)或其任何概括,無論其是否減輕了本文所解決的任何或所有問題。因此,可以在本申請(或主張優先權的申請案)的申請期間針對任何這樣的特徵組合提出新的申請專利範圍。特別地,參考所附申請專利範圍,附屬請求項的特徵可以與獨立請求項的特徵組合,並且各個獨立請求項的特徵可以以任何適當的方式組合,而不僅僅是所附申請專利範圍中列舉的特定組合。
以下示例涉及進一步的實施例。不同實施例的各種特徵可以與包括的一些特徵及排除的其他特徵不同地組合,以適合各種不同的應用。
示例實施例1:一種積體電路結構,包括:位於基板上方的多個閘極結構,其中,該些閘極結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋。與該些閘極結構交替設置的多個導電溝槽接觸結構,其中,該些導電溝槽接觸結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋。位於該些閘極結構上方及該些導電溝槽接觸結構上方的蝕刻停止層。位於該蝕刻停止層上方的層間介電材料。位於該層間介電材料及該蝕刻停止層中的開口,該開口暴露該些閘極結構中之一者上的該導電蓋及該些側壁間隔件。位於該開口中的導電結構,該導電結構與該些閘極結構中之一者上的該導電蓋及該些側壁間隔件直接接觸。
示例實施例2:示例實施例1的積體電路結構,還包括沿著該些閘極結構中之一者的側邊的介電間隔件,其中,該開口暴露該介電間隔件的一部分。
示例實施例3:示例實施例1或2的積體電路結構,還包括位於該導電結構及該導電蓋之間的該蝕刻停止層的剩餘部分。
示例實施例4:示例實施例1、2或3的積體電路結構,其中,該導電結構具有與該層間介電材料的最上表面共平面的的最上表面。
示例實施例5:示例實施例1、2、3或4的積體電路結構,其中,該蝕刻停止層包含鋁及氧,且該些側壁間隔件包含矽及氮。
示例實施例6:一種積體電路結構包,包括:位於基板上方的多個閘極結構,其中,該些閘極結構中的個別多者在其上具有位於多個側壁間隔件之間的導電蓋。與該些閘極結構交替設置的多個導電溝槽接觸結構,其中,該些導電溝槽接觸結構中的個別多者在其上具有位於多個側壁間隔件之間的導電蓋。位於該些閘極結構上方及該些導電溝槽接觸結構上方的蝕刻停止層。位於該蝕刻停止層上方的層間介電材料。位於該層間介電材料及該蝕刻停止層中的開口,該開口暴露該些導電溝槽接觸結構中之一者上的該導電蓋及該些側壁間隔件。位於該開口中的導電結構,該導電結構與該些導電溝槽接觸結構中之一者上的該導電蓋及該些側壁間隔件直接接觸。
示例實施例7:示例實施例6的積體電路結構,還包括沿著該些導電溝槽接觸結構中之一者的側面的介電間隔件,其中,該開口暴露該介電間隔件的一部分。
示例實施例8:示例實施例6或7的積體電路結構,還包括位於該導電結構及該導電蓋之間的該蝕刻停止層的剩餘部分。
示例實施例9:示例實施例6、7或8的積體電路結構,其中,該導電結構具有與該層間介電材料的最上表面共平面的最上表面。
示例實施例10:示例實施例6、7、8或9的積體電路結構,其中,該蝕刻停止層包含鋁及氧,且該些側壁間隔件包含矽及氮。
示例實施例11:一種計算裝置,包括:板體及耦合至該板體的組件。該組件包括積體電路結構,該積體電路結構包括:位於基板上方的多個閘極結構,其中,該些閘極結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋。與該些閘極結構交替設置的多個導電溝槽接觸結構,其中,該些導電溝槽接觸結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋。位於該些閘極結構上方及該些導電溝槽接觸結構上方的蝕刻停止層。位於該蝕刻停止層上方的層間介電材料。位於該層間介電材料及該蝕刻停止層中的開口,該開口暴露該些閘極結構中之一者上的該導電蓋及該些側壁間隔件。位於該開口中的導電結構,該導電結構與該些閘極結構中之一者上的該導電蓋及該些側壁間隔件直接接觸。
示例實施例12:示例實施例11的計算裝置,還包括耦合至該板體的記憶體。
示例實施例13:示例實施例11或12的計算裝置,還包括耦合至該板體的通訊晶片。
示例實施例14:示例實施例11、12或13的計算裝置,還包括耦合至該板體的相機。
示例實施例15:示例實施例11、12、13或14的計算裝置,其中,該組件是封裝積體電路晶粒。
示例實施例16:一種計算裝置,包括:板體及耦合至該板體的組件。該組件包括積體電路結構,該積體電路結構包括:位於基板上方的多個閘極結構,其中,該些閘極結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋。與該些閘極結構交替設置的多個導電溝槽接觸結構,其中,該些導電溝槽接觸結構中的個別多者在其上具有位於多個側壁間隔件之間的導電蓋。位於該些閘極結構上方及該些導電溝槽接觸結構上方的蝕刻停止層。位於該蝕刻停止層上方的層間介電材料。位於該層間介電材料及該蝕刻停止層中的開口,該開口暴露該些導電溝槽接觸結構中之一者上的該導電蓋及該些側壁間隔件。位於該開口中的導電結構,該導電結構與該些導電溝槽接觸結構中之一者上的該導電蓋及該些側壁間隔件直接接觸。
示例實施例17:示例實施例16的計算裝置,還包括耦合至該板體的記憶體。
示例實施例18:示例實施例16或17的計算裝置,還包括耦合至該板體的通訊晶片。
示例實施例19:示例實施例16、17或18的計算裝置,還包括耦合至該板體的相機。
示例實施例20:示例實施例16、17、18或19的計算裝置,其中,該組件是封裝積體電路晶粒。
100A:半導體結構或元件 102:基板 104:擴散或主動區 106:隔離區 108A:閘極線 108B:閘極線 108C:閘極線 110A:接觸 110B:接觸 112A:溝槽接觸通孔 112B:溝槽接觸通孔 114:閘極接觸 116:閘極接觸通孔 200A:半導體結構或元件 202:基板 204:擴散或主動區 206:隔離區 208A:閘極線 208B:閘極線 208C:閘極線 210A:溝槽接觸 210B:溝槽接觸 212A:溝槽接觸通孔 212B:溝槽接觸通孔 216:閘極接觸通孔 100B:半導體結構或元件 104B:非平面擴散或主動區 150:閘極電極 152:閘極介電層 154:介電蓋層 160:金屬互連 170:層間介電堆疊或層 200B:半導體結構或元件 204B:非平面擴散或主動區 250:閘極電極 252:閘極介電層 254:介電蓋層 260:金屬互連 270:層間介電堆疊或層 300:起始結構 302:基板 304:閘極結構 306:介電間隔件 308:導電溝槽接觸結構 310:閘極絕緣蓋層 308A,308B:導電溝槽接觸結構 312:介電間隔件形成層 312A:間隔件 312B:間隔件 314A:導電蓋 314B:導電蓋 316:蝕刻停止層 316A:蝕刻停止層 316B:剩餘部分 316C:剩餘部分 318A:層間介電材料 320:開口 322A:開口 324:導電材料 400:積體電路結構 326:導電結構 328:導電結構 500:積體電路結構 504:閘極線 506:閘極絕緣蓋層 508:介電間隔件 510:溝槽接觸 512:溝槽接觸絕緣蓋層 514:閘極接觸通孔 516:溝槽接觸通孔 505:閘極堆疊 511:導電接觸結構 600A:積體電路結構 602:鰭片 602A:頂部 604:第一閘極介電層 606:第二閘極介電層 608:第一閘極電極 610:第二閘極電極 612:第一側 614:第二側 616:絕緣蓋 618:頂面 620:第一介電間隔件 622:第二介電間隔件 624:半導體源極或汲極區 626:溝槽接觸結構 628:絕緣蓋 629:頂面 630:導電結構 632:凹槽 634:U形金屬層 636:T形金屬層 638:第三金屬層 609A:共形導電層 609B:導電填充材料 600B:積體電路結構 628B:底面 600C:積體電路結構 617C:底面 628A:底面 628C:底面 650:導電通孔/第一介電蝕刻停止層 652:第二介電蝕刻停止層 662:開口 660:第二導電通孔 670:電短路接觸 664:侵蝕部分 700:半導體結構或元件 708A:閘極結構 708B:閘極結構 708C:閘極結構 710A:溝槽接觸 710B:溝槽接觸 780:閘極接觸通孔 750:半導體結構或元件 760A:溝槽接觸 760B:溝槽接觸 758A:閘極結構 758B:閘極結構 758C:閘極結構 760A:溝槽接觸 760B:溝槽接觸 780:接觸 790:溝槽接觸通孔 800:起始結構 802:基板或鰭 804:閘極堆疊 806:閘極介電層 808:共形導電層 810:導電填充材料 812:熱或化學氧化層 814:介電間隔件 816:層間介電(ILD)層 818:遮罩 820:開口 822:空腔 824:凹陷閘極堆疊 826:第一絕緣層 828:第一部分 830:絕緣閘極蓋結構 832:接縫 832A:接縫 832B:接縫 832C:接縫 830A:材料 830B:材料 830C:材料 830D:材料 900:計算裝置 902:板體 904:處理器 906:通訊晶片 1000:中介層 1002:第一基板 1004:第二基板 1006:球柵陣列 1008:金屬互連 1010:通孔 1012:矽通孔 1014:嵌入式裝置 1100:行動計算平台 1105:顯示螢幕 1113:電池 1111:控制器 1115:源管理積體電路 1177:封裝裝置 1120:擴展視圖 1160:板體 1200:裝置 1202:晶粒 1204:金屬化焊墊 1206:封裝基板 1208:連接件 1210:焊球 1212:底部填充材料
[圖1A]顯示了具有設置在閘極電極的非主動部分上方的閘極接觸的半導體元件的平面圖。
[圖1B]顯示了具有設置在閘極電極的非主動部分上方的閘極接觸的非平面半導體元件的截面圖。
[圖2A]顯示了根據本揭露的實施例的具有設置在閘極電極的主動部分上方的閘極接觸通孔的半導體元件的平面圖。
[圖2B]顯示了根據本揭露的實施例的具有設置在閘極電極的主動部分上方的閘極接觸通孔的非平面半導體元件的截面圖。
[圖3A-3J]顯示了根據本揭露的實施例的製造具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構的方法中的各種操作的截面圖。
[圖4]顯示根據本揭露的實施例的具有錐形閘極或溝槽接觸的主動閘極上方接觸(COAG)結構的截面圖。
[圖5]顯示了根據本揭露的實施例的具有溝槽接觸及閘極接觸的積體電路結構的平面圖及對應的截面圖。
[圖6A-6F]顯示了根據本揭露的實施例的各種積體電路結構的截面圖,每個積體電路結構具有包括上覆絕緣蓋層的溝槽接觸並且具有包括上覆絕緣蓋層的閘極堆疊。
[圖7A]顯示了根據本揭露的另一實施例的具有設置在閘極的主動部分上方的閘極接觸通孔的另一半導體元件的平面圖。
[圖7B]顯示了根據本揭露的另一個實施例的具有透過耦合一對溝槽接觸的溝槽接觸的另一個半導體元件的平面圖。
[圖8A-8E]顯示了代表根據本揭露的實施例的製造具有覆蓋絕緣蓋層的閘極堆疊的積體電路結構的方法中的各種操作的截面圖。
[圖9]顯示了根據本揭露的一種實現方式的計算裝置。
[圖10]顯示了包括本揭露的一個或多個實施例的中介層。
[圖11]是根據本揭露的實施例的採用根據本文所述的一種或多種製程製造或包括本文所述的一種或多種特徵的IC的行動計算平台的等角視圖。
[圖12]顯示了根據本揭露的實施例的覆晶安裝晶粒的截面圖。
200A:半導體結構或元件
202:基板
204:擴散或主動區
206:隔離區
208A:閘極線
208B:閘極線
208C:閘極線
210A:溝槽接觸
210B:溝槽接觸
212A:溝槽接觸通孔
212B:溝槽接觸通孔
216:閘極接觸通孔

Claims (20)

  1. 一種積體電路結構,包括: 位於基板上方的多個閘極結構,其中,該些閘極結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋; 與該些閘極結構交替設置的多個導電溝槽接觸結構,其中,該些導電溝槽接觸結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋; 位於該些閘極結構上方及該些導電溝槽接觸結構上方的蝕刻停止層; 位於該蝕刻停止層上方的層間介電材料; 位於該層間介電材料及該蝕刻停止層中的開口,該開口暴露該些閘極結構中之一者上的該導電蓋及該些側壁間隔件;及 位於該開口中的導電結構,該導電結構與該些閘極結構中之一者上的該導電蓋及該些側壁間隔件直接接觸。
  2. 如請求項1所述的積體電路結構,還包括沿著該些閘極結構中之一者的側邊的介電間隔件,其中,該開口暴露該介電間隔件的一部分。
  3. 如請求項1或2所述的積體電路結構,還包括位於該導電結構及該導電蓋之間的該蝕刻停止層的剩餘部分。
  4. 如請求項1或2所述的積體電路結構,其中,該導電結構具有與該層間介電材料的最上表面共平面的最上表面。
  5. 如請求項1或2所述的積體電路結構,其中,該蝕刻停止層包含鋁及氧,且該些側壁間隔件包含矽及氮。
  6. 一種積體電路結構,包括: 位於基板上方的多個閘極結構,其中,該些閘極結構中的個別多者在其上具有位於多個側壁間隔件之間的導電蓋; 與該些閘極結構交替設置的多個導電溝槽接觸結構,其中,該些導電溝槽接觸結構中的個別多者在其上具有位於多個側壁間隔件之間的導電蓋; 位於該些閘極結構上方及該些導電溝槽接觸結構上方的蝕刻停止層; 位於該蝕刻停止層上方的層間介電材料; 位於該層間介電材料及該蝕刻停止層中的開口,該開口暴露該些導電溝槽接觸結構中之一者上的該導電蓋及該些側壁間隔件;及 位於該開口中的導電結構,該導電結構與該些導電溝槽接觸結構中之一者上的該導電蓋及該些側壁間隔件直接接觸。
  7. 如請求項6所述的積體電路結構,還包括沿著該些導電溝槽接觸結構中之一者的側面的介電間隔件,其中,該開口暴露該介電間隔件的一部分。
  8. 如請求項6或7所述的積體電路結構,還包括位於該導電結構及該導電蓋之間的該蝕刻停止層的剩餘部分。
  9. 如請求項6或7所述的積體電路結構,其中,該導電結構具有與該層間介電材料的最上表面共平面的最上表面。
  10. 如請求項6或7所述的積體電路結構,其中,該蝕刻停止層包含鋁及氧,且該些側壁間隔件包含矽及氮。
  11. 一種計算裝置,包括: 板體;及 耦合至該板體的組件,該組件包括積體電路結構,該積體電路結構包括: 位於基板上方的多個閘極結構,其中,該些閘極結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋; 與該些閘極結構交替設置的多個導電溝槽接觸結構,其中,該些導電溝槽接觸結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋; 位於該些閘極結構上方及該些導電溝槽接觸結構上方的蝕刻停止層; 位於該蝕刻停止層上方的層間介電材料; 位於該層間介電材料及該蝕刻停止層中的開口,該開口暴露該些閘極結構中之一者上的該導電蓋及該些側壁間隔件;及 位於該開口中的導電結構,該導電結構與該些閘極結構中之一者上的該導電蓋及該些側壁間隔件直接接觸。
  12. 如請求項11所述的計算裝置,還包括: 耦合至該板體的記憶體。
  13. 如請求項11或12所述的計算裝置,還包括: 耦合至該板體的通訊晶片。
  14. 如請求項11或12所述的計算裝置,還包括: 耦合至該板體的相機。
  15. 如請求項11或12所述的計算裝置,其中,該組件是封裝積體電路晶粒。
  16. 一種計算裝置,包括: 板體;及 耦合至該板體的組件,該組件包括積體電路結構,該積體電路結構包括: 位於基板上方的多個閘極結構,其中,該些閘極結構中的個別多者在其上具有介於多個側壁間隔件之間的導電蓋; 與該些閘極結構交替設置的多個導電溝槽接觸結構,其中,該些導電溝槽接觸結構中的個別多者在其上具有位於多個側壁間隔件之間的導電蓋; 位於該些閘極結構上方及該些導電溝槽接觸結構上方的蝕刻停止層; 位於該蝕刻停止層上方的層間介電材料; 位於該層間介電材料及該蝕刻停止層中的開口,該開口暴露該些導電溝槽接觸結構中之一者上的該導電蓋及該些側壁間隔件;及 位於該開口中的導電結構,該導電結構與該些導電溝槽接觸結構中之一者上的該導電蓋及該些側壁間隔件直接接觸。
  17. 如請求項16所述的計算裝置,還包括: 耦合至該板體的記憶體。
  18. 如請求項16或17所述的計算裝置,還包括: 耦合至該板體的通訊晶片。
  19. 如請求項16或17所述的計算裝置,還包括: 耦合至該板體的相機。
  20. 如請求項16或17所述的計算裝置,其中,該組件是封裝積體電路晶粒。
TW110134220A 2020-12-16 2021-09-14 用於先進積體電路結構製造的具有錐形閘極或溝槽接觸的主動閘極結構上方接觸 TW202226528A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/123,677 2020-12-16
US17/123,677 US20220190128A1 (en) 2020-12-16 2020-12-16 Contact over active gate structures with tapered gate or trench contact for advanced integrated circuit structure fabrication

Publications (1)

Publication Number Publication Date
TW202226528A true TW202226528A (zh) 2022-07-01

Family

ID=77912997

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110134220A TW202226528A (zh) 2020-12-16 2021-09-14 用於先進積體電路結構製造的具有錐形閘極或溝槽接觸的主動閘極結構上方接觸

Country Status (5)

Country Link
US (1) US20220190128A1 (zh)
EP (1) EP4016601A1 (zh)
KR (1) KR20220086476A (zh)
CN (1) CN114639724A (zh)
TW (1) TW202226528A (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101848871B1 (ko) * 2011-08-03 2018-04-13 엘지전자 주식회사 이동 단말기
US9461355B2 (en) * 2013-03-29 2016-10-04 Intel Corporation Method apparatus and material for radio frequency passives and antennas
US9899321B1 (en) * 2016-12-09 2018-02-20 Globalfoundries Inc. Methods of forming a gate contact for a semiconductor device above the active region
US10312188B1 (en) * 2018-01-11 2019-06-04 Globalfoundries Inc. Interconnect structure with method of forming the same
US10707127B2 (en) * 2018-11-06 2020-07-07 International Business Machines Corporation Field effect transistor devices with self-aligned source/drain contacts and gate contacts positioned over active transistors
US11581412B2 (en) * 2019-01-02 2023-02-14 Intel Corporation Contact over active gate structures with conductive gate taps for advanced integrated circuit structure fabrication
US10818768B1 (en) * 2019-05-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming metal cap layers to improve performance of semiconductor structure

Also Published As

Publication number Publication date
EP4016601A1 (en) 2022-06-22
KR20220086476A (ko) 2022-06-23
US20220190128A1 (en) 2022-06-16
CN114639724A (zh) 2022-06-17

Similar Documents

Publication Publication Date Title
TW202247391A (zh) 具有正面訊號線及背面供電之積體電路結構
US20200286891A1 (en) Self-aligned gate endcap (sage) architecture having local interconnects
US11888043B2 (en) Contact over active gate structures with conductive gate taps for advanced integrated circuit structure fabrication
US20220352165A1 (en) Self-aligned gate endcap (sage) architecture having gate contacts
EP3629369A1 (en) Contact over active gate structures with etch stop layers for advanced integrated circuit structure fabrication
CN110660796A (zh) 具有接触蚀刻停止层的源极或漏极结构
EP3796370A1 (en) Contact over active gate structures with metal oxide layers to inhibit shorting
TW202145564A (zh) 具有高磷摻雜物濃度的源極和汲極
TW202226528A (zh) 用於先進積體電路結構製造的具有錐形閘極或溝槽接觸的主動閘極結構上方接觸
TWI839470B (zh) 具有垂直溝槽之源極或汲極結構
EP4203063A1 (en) Contact over active gate structures with trench contact layers for advanced integrated circuit structure fabrication
EP4243082A2 (en) Contact over active gate structures with uniform and conformal gate insulating cap layers for advanced integrated circuit structure fabrication
EP4102552A1 (en) Narrow conductive structures for gate contact or trench contact
US20230317617A1 (en) Spacer self-aligned via structures using directed selfassembly for gate contact or trench contact
US20220390990A1 (en) Spacer self-aligned via structures for gate contact or trench contact
US20230290841A1 (en) Spacer self-aligned via structures using assisted grating for gate contact or trench contact
EP4156258A1 (en) Contact over active gate structures with conductive trench contact taps for advanced integrated circuit structure fabrication
US20240105599A1 (en) Mushroomed via structures for trench contact or gate contact
US20220399233A1 (en) Stent and wrap contact
KR20230094131A (ko) 후면 전력 전달을 갖는 집적 회로 구조
TW202105736A (zh) 具有垂直溝槽之源極或汲極結構
TW202224099A (zh) 用於製造先進積體電路結構之使用定向自組裝的主動閘極上方接觸結構