TW202220020A - 用於半導體處理的電漿源 - Google Patents

用於半導體處理的電漿源 Download PDF

Info

Publication number
TW202220020A
TW202220020A TW110126568A TW110126568A TW202220020A TW 202220020 A TW202220020 A TW 202220020A TW 110126568 A TW110126568 A TW 110126568A TW 110126568 A TW110126568 A TW 110126568A TW 202220020 A TW202220020 A TW 202220020A
Authority
TW
Taiwan
Prior art keywords
electrode
electrodes
plate
plasma
source
Prior art date
Application number
TW110126568A
Other languages
English (en)
Other versions
TWI831030B (zh
Inventor
弗拉迪米爾 納戈尼
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202220020A publication Critical patent/TW202220020A/zh
Application granted granted Critical
Publication of TWI831030B publication Critical patent/TWI831030B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/03Mounting, supporting, spacing or insulating electrodes
    • H01J2237/038Insulating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/045Diaphragms
    • H01J2237/0451Diaphragms with fixed aperture
    • H01J2237/0453Diaphragms with fixed aperture multiple apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32348Dielectric barrier discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本技術包含電漿源,電漿源包括第一板,該第一板界定以第一組列佈置的第一複數個孔口。第一板可包括第一組電極,該第一組電極沿第一組列中之單獨的列延伸。電漿源可包括第二板,該第二板界定以第二組列佈置的第二複數個孔口。第二板可包括第二組電極,該第二組電極沿第二組列中之單獨的列延伸。第二複數個孔口中之每一孔口可與第一複數個孔口中之孔口軸向對準。電漿源可包括位於第一板與第二板之間的第三板。第三板可界定第三複數個孔口。

Description

用於半導體處理的電漿源
本申請案主張2020年7月23日申請之題為「PLASMA SOURCE FOR SEMICONDUCTOR PROCESSING」的美國專利申請案第16/937,106號的優先權,其以全文引用的方式併入本文中。
本技術係關於半導體系统、製程及設備。更具體而言,本技術係關於半導體處理腔室中整合的且用於半導體處理中的電漿源。
很多基板處理系統在半導體基板處理期間使用電漿產生源。處理中使用電漿源以產生可促進沉積、蝕刻、清洗及半導體處理中其他操作的自由基流出物。電漿源常常限於特定的操作區中,可能受到功率、腔室壓力或半導體處理的任何數量的其他態樣的限制。雖然根據各種原理(包括微波激勵及電容或電感耦合)運行的不同電漿源可提供多種不同的效能益處,但此等系統中之每一者可能受到一或多種限制。由於半導體處理包括更複雜的操作,需要更精確的均勻性,因此許多習知的電漿源無法產生所要的結果。
由此,需要用於改進半導體處理結果及均勻性的改進的系統、部件及方法。本技術滿足此等及其他需要。
本技術包含電漿源,電漿源包括第一板,該第一板界定以第一組列佈置的第一複數個孔口。第一板可包括第一組電極。第一組電極中之每一電極可沿第一組列中之單獨的列延伸。電漿源可包括第二板,該第二板界定以第二組列佈置的第二複數個孔口。第二板可包括第二組電極。第二組電極中之每一電極可沿第二組列中之單獨的列延伸。第二複數個孔口中之每一孔口可與第一複數個孔口中之孔口軸向對準。電漿源可包括位於第一板與第二板之間的第三板。第三板可界定第三複數個孔口。第三複數個孔口中之每一孔口可與第一複數個孔口中之孔口及第二複數個孔口中之孔口軸向對準。
在一些實施例中,第一複數個孔口中的每一軸向對準的孔口、第二複數個孔口中的每一軸向對準的孔口及第三複數個孔口中的每一軸向對準的孔口可形成延伸通過電漿源的電漿單元。源可包括第一電源,該第一電源與第一組電極中之每一電極電性耦接。第一電源可經配置以沿第一組電極中之每一電極提供第一電壓。源可包括第二電源,該第二電源與第二組電極中之每一電極電性耦接。第二電源可經配置以沿第二組電極中之每一電極提供第二電壓。第一電源及第二電源可經配置以在位於各自接收電力的第一組電極中之重疊電極及第二組電極中之電極的電漿單元內產生放電。第一複數個孔口中之每一孔口可具有第一直徑,且第三複數個孔口中之每一孔口可具有與第一直徑不同的第二直徑。可沿第一板的表面將第一組電極中之每一電極保持於與第一組電極中之每一其他電極電性隔離。第一組電極中之每一電極可沿第一板的第一表面延伸。第一組電極中之每一電極可進一步沿與相關聯電極相交的第一複數個孔口中之每一孔口的側壁延伸。源可包括一層介電材料,其上覆於沿第一複數個孔口中之每一孔口的側壁延伸的電極材料。
本技術的一些實施例可包含半導體處理腔室。腔室可包括基板支撐件,該基板支撐件經配置以支撐基板以用於處理及至少部分地自下方界定處理區域。腔室可包括位於半導體處理腔室內的電漿源。電漿源可包括界定第一孔口的第一板。電漿源可包括第一電極,該第一電極在第一板的表面上延伸,並與第一孔口相交。電漿源可包括界定第二孔口的第二板,且第二孔口可與第一孔口同軸。電漿源可包括第二電極,該第二電極在第二板的表面上延伸,並與第二孔口相交。第二電極可垂直於第一電極延伸。電漿源可包括位於第一板與第二板之間的第三板。第三板可界定第三孔口,該第三孔口與第一孔口及第二孔口同軸以形成穿過電漿源的通道。
在一些實施例中,第一電極可圍繞第一孔口的側壁延伸,且第二電極可圍繞第二孔口的側壁延伸。腔室可包括第一電源,該第一電源經配置以沿第一電極提供第一電壓。腔室可包括第二電源,該第二電源經配置以沿第二電極提供第二電壓。腔室可包括第一介電材料,該第一介電材料上覆於圍繞第一孔口的側壁延伸的第一電極的一部分。腔室可包括第二介電材料,該第二介電材料上覆於圍繞第二孔口的側壁延伸的第二電極的一部分。第一孔口可具有第一直徑,且第三孔口可具有與第一直徑不同的第二直徑。電漿源可至少部分地自上方界定處理區域。第一孔口可為第一複數個孔口之孔口。第一電極可為第一複數個電極之電極。第一複數個孔口中之每一孔口可與第一複數個電極中之電極相交。
本技術的一些實施例可包含半導體處理方法。方法可包括向半導體處理腔室提供前驅物。半導體處理腔室可具有電漿源,該電漿源包括界定第一孔口的第一板。電漿源可包括第一電極,該第一電極在第一板的表面上延伸,並與第一孔口相交。電漿源可包括界定第二孔口的第二板。第二孔口可與第一孔口同軸。電漿源可包括第二電極,該第二電極在第二板的表面上延伸,並與第二孔口相交。第二電極可垂直於第一電極延伸。電漿源可包括位於第一板與第二板之間的第三板。第三板可界定第三孔口,該第三孔口與第一孔口及第二孔口同軸以形成穿過電漿源的通道。電漿源可包括第一電源,該第一電源經配置以沿第一電極提供第一電壓。電漿源可包括第二電源,該第二電源經配置以沿第二電極提供第二電壓。方法可包括沿第一電極提供第一電壓。方法可包括穿過電漿源在通道內形成前驅物的電漿。
在一些實施例中,方法可包括在提供前驅物之前沿第一電極提供第一電壓。方法可包括沿第二電極提供第二電壓以在穿過電漿源的通道產生記憶電荷。第一電極可圍繞第一孔口的側壁延伸。第二電極可圍繞第二孔口的側壁延伸。電漿源亦可包括第一介電材料,該第一介電材料上覆於沿第一孔口的側壁延伸的第一電極的一部分。電漿源亦可包括第二介電材料,該第二介電材料上覆於沿第二孔口的側壁延伸的第二電極的一部分。穿過電漿源形成的通道內的崩潰電壓可為小於500 V或大約500 V。
相比於習知的系統及技術,此技術可提供許多好處。舉例而言,相比於習知的源,根據本技術的實施例的電漿源可在更寬的壓力區上運行。另外,可操作源以提高對半導體基板執行的操作的原位均勻性。結合以下描述和所附圖示更詳細地描述此等及其他實施例以及其優點和特徵中的許多者。
半導體處理中使用的電漿常常限於特定的壓力範圍,該壓力範圍通常為幾毫托至幾托,例如低於20托。由於裝置架構變得更加精細,更高壓力的電漿可提高操作能力。然而,習知的源受到離散的壓力範圍的限制,且常常無法擴展源產生至更高的壓力。另外,當壓力升高時,製程均勻性面臨挑戰。這可能是由於許多習知的電漿源包括一或兩個天線,無法在更高的壓力下在基板上均勻地分配物種,在更高的壓力下擴散可能減緩。雖然在相對低壓力(例如幾托)下操作的一些習知的電漿源可能使用網格中和離子,但作為提高電漿均勻性的嘗試,此裝置限於網格上方電漿產物的最小非均勻性。
本技術包含電漿源,該等電漿源與多種腔室架構相容,且能夠用可調整至幾乎任何基板尺寸的配置將維持低於1托至50托或更高的電漿。電漿源可包括裝配於一平面內的數百或數千個個別的電漿源,從而進入的製程前驅物可通過個別的單元,在個別的單元處可在每一位置激發前驅物。對於電容耦合電漿放電版本,可自一或多個RF產生器驅動源,以及對於介電阻障放電版本,可自低頻方波AC產生器驅動源。這可提供使用定址來控制施加於每一單元的平均功率,而控制每一個別單元中的放電。
本技術藉由使用在經由電漿源形成的一或多個單元中形成的根據介電阻障放電運行的電漿源,解決了很多習知的問題。藉由沿電漿源控制電極距離,相比於多個習知的電漿源可降低電壓,這可擴展例示性源的壓力操作窗。另外,藉由經由源產生多個獨立運行的電漿單元,在無需調整電漿源自身的情況下,可原位並在可使用處理操作的結果經由源修改電漿產生的前饋製程中調整晶圓上特性。舉例而言,雖然很多習知的電漿源可調整孔口直徑以增加或減少特定半導體製程的基板位準影響,但本技術可修改穿過任何數量電漿單元的電漿脈衝,以修改所執行的任何種類的製程。
能量轉移至電子的速率及電漿產生速率可取決於氣體中降低的電場,氣體中的電場可與氣體壓力呈反向關。因此,整體平衡條件(包括壓力)可取決於壓力與電漿特徵尺寸的乘積。然而,在更小和更大的壓力下,電漿可能更加難以維持,且可能因此需要提高電壓。因此,為了在高壓力下運行電漿,本技術可藉由使用多個小的互連源而非一個源來顯著減小源的尺寸。有利地,可個別地控制此等個別的源。用更多的小源替代一個大源亦可簡化腔室架構,且減少用於實現較高製程均勻性的可能的控制元件的數量。
本技術的基本元素或迷你源的概念可基於簡單的圓柱形設計,該圓柱形設計可用於下文將進一步描述的RF電容耦合電漿操作及介電阻障放電操作。個別單元的佈置可使得電極材料不受電漿汙染。當向源的電極施加功率時,可滿足通道內的放電條件,其可允許電漿生成,同時限制或阻止在所形成的個別通道外部生成電漿。
可按柵格狀配置佈置個別的源,其中將所有頂電極連接在一起,且將所有底電極連接在一起,而隔片填充頂電極與底電極之間的空間,因此前驅物可僅流過個別的單元,且可控制放電使其在單元內發生。可按任何數量的方式製造源。舉例而言,在一些實施例中,頂電極或底電極中之任一者的個別電極可由具有用於通道的孔的單片導電材料,及電極之間的界定間隙大小的隔片,連同源的頂側及底側上的保護片一起製成,下文將對此進一步描述。每一孔的表面可塗佈有介電質,可沉積介電質,將其作為管插入,以限制通道之外的放電。亦可按多種方式進行冷卻,例如藉由使用腔室主體的冷卻部分調整電極片的厚度且耦接電極片。
可按多種方式將電極作為片包括在內或將其沉積為膜,這可提供多種連接益處。舉例而言,在介電板上沉積導電膜以在孔內產生單元的電極並連接電極,可提供機會以用線連接單元電極(例如掃描電極),且可將諸如體電極的第二電極連接在一起。這可允許經由控制板將所有體電極連接至電源的一端,且將掃描電極連接至電源的另一端,這可允許同時連接所有掃描線,從而得到均勻的電漿產生或僅使一些線產生放電。另外,頂電極及底電極均可形成相互垂直延伸的線,這可使得不僅沿個別的線產生電漿,並且使得在對所有單元一起施加功率時,在個別的單元內對源上任何所選擇的單元進行定址。在一個非限制性實例中,每一單元可包括三個電極,其中包括體電極、掃描電極及掃描電極旁的定址電極,連同分隔電極的額外的介電層。可將所有體電極連接在一起,而掃描電極與定址電極可相互垂直。如下文將解釋,定址電極可單獨用於定址,而體電極及掃描電極可用於在定址之前維持及重設每一單元。
所有單元可獨立於每一其他單元而運行,且單元之間少量的粒子滲透可能不影響單元內的放電條件。單元之間的通信可能限於所施加的電壓。在操作中,可連接電極以產生電容耦合源或介電阻障放電。當將所有頂電極連接在一起並將所有底電極連接在一起時,可藉由單一的RF產生器及匹配驅動頂電極及底電極作為電容耦合電漿源。另外,可例如經由受控的開關將掃描線中的電極連接至共同的RF源或匹配。由於每一單元中沉積的功率可能相同,且由此驅動源的一部分所需的功率可能與彼部分成比例,因此開關控制器可對RF功率產生器有回饋,RF功率產生器可在一些線斷接時自動降低功率。可藉由RF產生器輸出電壓控制任何單元中的功率。
對於介電阻障放電,在一段時間內可對每一單元的間隙施加單極高電壓,在該段時間內,放電點燃、發展並熄滅,在單元的介電壁上留下「記憶」電荷,其補償施加於電極的電壓所產生的電壓。當對單元(如像素)施加的電壓為反向時,每一單元內的下一脈衝發生。記憶電荷可允許產生電漿。當驅動電壓為反向時,驅動電壓及記憶電荷誘導的電壓的組合可為驅動電壓自身的兩倍。由此,藉由使用低於單元崩潰電壓的驅動電壓量值,雖然具有記憶電荷的任何單元上的淨電壓顯著高於崩潰電壓,但可允許用產生電漿的一些單元驅動源,而無記憶電荷的其他單元無法點燃。可使用方波自單一電壓DC電源及受控的開關驅動源,該等受控的開關可將每一電極交替地接地或連接至電壓端。對於具有兩個體電極或一個體電極及一組掃描線電極的源(可能不包括定址),可使用相對簡單的驅動方案。舉例而言,可在一個端用所有線或選定數量的線將一條線的開關連接至電源。可將體電極連接至電源的另一端。可用幾個位準的維持電壓操作電源,且施加初始記憶電荷可在施加較高電壓用於維持操作之前初始地應用於所有單元,其中可施加來自較高位準的維持電壓的單一電壓脈衝,或可特定施加高於初始電壓的另一電壓,以對單元定址。另外,可使用氣壓或氣體變化啟動單一脈衝,且當壓力或氣體變化時,記憶電荷可能不變化。
介電阻障放電可為脈衝放電,其中脈衝放電每半個週期熄滅,使得允許不同的功率控制形成電容耦合電漿。在每一放電脈衝中,單元中沉積的能量可與電壓乘以單元電極電容的平方成比例,並因此如果所施加電壓的量值保持不變,則每一脈衝中的能量可為相同的。隨後每一單元中的功率控制可由脈衝頻率控制,且製程期間任何單元中產生的總能量可由脈衝總數控制。由於電源可在固定電壓下操作,可能不需要電容耦合電漿版本中的開關與電源之間的回饋。
雖然餘下的揭示案將照例說明使用所揭示技術的特定蝕刻製程,但應容易地理解系統及方法同樣適用於可在所描述腔室中發生的多種其他製程。舉例而言,本技術包含的電漿源可適用於在多種條件下運行並執行任何數量的電漿製程的沉積、蝕刻及清洗腔室。因此,不應將本技術視為限制於僅與所描述的製程一起使用。本揭示案將討論一種可能的系統及腔室,其中可在描述根據本技術的一些實施例的例示性製程序列的源或操作方法之前使用本技術。應理解本技術不限於所描述的設備,且可在任何數量的處理腔室及系統中執行所討論的製程。
第1圖展示根據實施例的沉積、蝕刻、烘焙及/或硬化腔室之處理系統10的一個實施例的俯視平面圖。第1圖中描繪的工具或處理系統10可含有複數個製程腔室24a至24d、移送腔室20、維護腔室26、整合式計量腔室28及一對裝載閘腔室16a至16b。製程腔室可包括任何數量的結構或部件以及任何數量或組合的處理腔室。舉例而言,執行任何數量的蝕刻、沉積或其他製程的任何一或多個處理腔室可整合本揭示案中討論的電漿源的一或多個態樣。
為在腔室之間傳輸基板,移送腔室20可含有機械傳輸機構22。傳輸機構22可具有一對基板支撐葉片22a,基板支撐葉片22a分別附接至可延伸臂22b的遠端。葉片22a可用於將個別的基板攜載至製程腔室及自製程腔室攜載個別的基板。在運行中,諸如傳輸機構22的葉片22a的基板支撐葉片中之一者可自諸如腔室16a至16b的裝載閘腔室中之一者取回基板W,且將基板W攜載至處理的第一階段,例如下文腔室24a至24d中描述的處理製程。可包括腔室以執行所描述技術的個別或組合的操作。舉例而言,雖然一或多個腔室可經配置以執行沉積或蝕刻操作,但一或多個其他腔室可經配置以執行預處理操作及/或所描述的一或多個後處理操作。本技術包含任何數量的配置,亦可執行通常在半導體處理中執行的任何數量的其他製造操作。
如果腔室已佔用,則機器人可能等至處理完成,且隨後用一個葉片22a自腔室移除所處理的基板,並可用第二葉片插入新的基板。基板一經處理,隨即可將該基板移動至處理的第二階段。對於每一移動,傳輸機構22大體而言可使一個葉片攜載基板,且另一葉片空載以執行基板交換。傳輸機構可在每一腔室等待,直至交換完成。
製程腔室內的處理一經完成,傳輸機構22可自上一製程腔室移動基板W,且將基板W傳輸至裝載閘腔室16a至16b中的盒子。自裝載閘腔室16a至16b,基板可移動至工廠介面12中。大體而言可操作工廠介面12以在大氣壓力的清潔環境中在艙裝載器14a至14d與裝載閘腔室16a至16b之間移送基板。可大體上經由空氣過濾製程(例如HEPA過濾)提供工廠介面12中的清潔環境。工廠介面12亦可包括基板定向器/對準器,其可使用該基板定向器/對準器在處理之前恰當地對準基板。諸如機器人18a至18b的至少一個基板機器人可位於工廠介面12中以在工廠介面12內的各個位置/定位之間傳輸基板,及將基板傳輸至與該等位置之間連通的其他位置。機器人18a至18b可經配置以在工廠介面12內沿軌道系統自工廠介面12的第一端行進至第二端。
處理系統10可進一步包括整合式計量腔室28以提供控制訊號,控制訊號提供對在處理腔室中執行的製程中之任一者的適應性控制。整合式計量腔室28可包括多種計量裝置中之任一者以量測各個膜性質,例如厚度、粗糙度、成分,且計量裝置可進一步能夠以自動方式在真空中表徵光柵參數,例如臨界尺寸、側壁角及特徵高度。
處理腔室24a至24d中之每一者可經配置以在半導體結構製造中執行一或多個製程步驟,且可對多腔室處理系統10使用任何數量的處理腔室或處理腔室的組合。舉例而言,處理腔室中之任一者可經配置以執行多個基板處理操作,其中包括任何數量的沉積製程(包括循環層沉積、原子層沉積、化學氣相沉積、物理氣相沉積)以及包括蝕刻、預清洗、預處理、後處理、退火、電漿處理、除氣、定向的其他操作及其他基板製程。可在腔室中之任一者或腔室的任一組合中執行的一些特定製程可為金屬沉積、基板清洗及製備、熱退火,例如快速熱處理及電漿處理。可類似地在多腔室處理系統10整合的特定腔室中執行任何其他製程,例如下文描述的任何製程,熟習此項技術者將容易地理解這一點。
第2圖圖示根據本技術的一些實施例的例示性處理腔室100的示意性橫截面圖。諸如腔室100的腔室以及任何處理腔室中可整合根據本技術的實施例的電漿源,該處理腔室可包括以腔室100描述的一或多個部件。腔室100可圖示半導體處理腔室及附件,附件可為上文所描述的系統10包含的諸多腔室中之一者。處理腔室100可用於多種電漿製程。在一些實施例中,可使用處理腔室100用一或多種蝕刻劑執行乾蝕刻。舉例而言,處理腔室可用於點燃來自前驅物的電漿,該前驅物包括含氧前驅物、含氫前驅物、含碳前驅物、含氮前驅物、含鹵素前驅物或任何數量的其他前驅物,可經增強且用於自基板101移除材料。
處理腔室100可包括腔室主體102、蓋組件104及支撐組件106。蓋組件104可位於腔室主體102的上端。支撐組件106可安置或至少部分地容納於腔室的處理區域或內部容積108中,內部容積108係至少部分地由腔室主體102界定且可構成腔室100的處理區域。腔室主體102可包括縫閥開口110,縫閥開口110形成於或界定於腔室主體102的側壁中。可選擇性打開或關閉縫閥開口110以允許藉由基板搬運機器人進入處理區域或內部容積108。
腔室主體102可進一步包括襯墊112,襯墊112可包圍支撐組件106。可移除襯墊112以用於維護及清洗。襯墊112可由金屬製成,例如鋁、陶瓷材料或任何其他製程相容的材料。在一些實施例中,襯墊112可包括一或多個孔口及其中形成的泵送通道116,且泵送通道116可與真空口118流體連通。孔口114可為氣體進入泵送通道116提供流徑。泵送通道116可為腔室100內的氣體進入真空口118提供出口。可將真空系統120與真空口118耦接。真空系統120可包括真空泵122及節流閥124。節流閥124可調節穿過腔室100的氣體流動。真空泵122可耦接至安置於內部容積108中的真空口118。
蓋組件104可包括至少兩個堆疊部件,該等堆疊部件經配置以在其中形成電漿容積或空腔。在一些實施例中,蓋堆疊104可包括第一電極126或上電極,其垂直安置於第二電極128或下電極上方。第一電極126及第二電極128可在其間限制電漿空腔130。第一電極126可與電源132(例如RF電源)耦接。第二電極128可經連接以接地,從而在兩個電極之間形成電容耦合區域。第一電極可界定氣體入口134或與氣體入口134流體連通。可包括一或多個氣體入口,且其可將一或多種前驅物輸送至電漿空腔130中。
蓋組件104亦可包括隔離環136,隔離環136可將第一電極126與第二電極128電性隔離。隔離環136可由氧化鋁或任何其他絕緣的處理相容的材料製成。蓋組件亦可包括氣體分配板138及阻擋板140。可堆疊第二電極128、氣體分配板138及阻擋板140,且將其安置於蓋邊緣142上,蓋邊緣142可與腔室主體102耦接。
在一些實施例中,第二電極128可包括或界定複數個氣體通路或孔口144,該等氣體通路或孔口144提供自電漿空腔130的出口以允許流出物自電漿空腔130向處理區域流動。氣體分配板138可包括或界定複數個孔口146,該等孔口146經配置以分配氣體或電漿流出物向處理區域108的流動且與其中安置的基板101接觸。氣體分配板138亦可為下文將進一步描述的電漿源,可代替位於所說明的腔室上的遠端電漿源或補充使用該電漿源。可視情況在第二電極128與氣體分配板138之間安置阻擋板140。阻擋板140可包括或界定複數個孔口148,以提供自第二電極128至氣體分配板138的複數個氣體通路。
支撐組件106可包括支撐構件180。支撐構件180經配置以支撐基板101以用於處理。支撐構件180可經由軸184耦接至提升機構182,軸184可延伸穿過腔室主體102的底表面。可藉由波紋管186將提升機構182可撓地密封至腔室主體102,從而可阻止真空自軸184周圍洩漏。提升機構182可允許在腔室主體102內在較低的移送位置與多個升高的製程位置之間垂直移動支撐構件180。另外,可經由支撐構件180安置一或多個升舉銷188。該一或多個升舉銷188可經配置以延伸穿過支撐構件180,使得基板101可升高而離開支撐構件180的表面。可藉由升舉環190起動該一或多個升舉銷188。
為操作處理腔室的各個態樣,在一些實施例中可包括控制器191。控制器191可按不同方式包括中央處理單元192及記憶體194,其可為任何種類且可藉由或使用所描述的任何系統中包括的任何附件裝置196操作。記憶體194可包括任何數量的特定指令,以用於執行所描述的任何方法或操作的一或多個態樣,或該特定指令可在腔室100或任何其他系統中執行。
第3圖展示根據本技術的一些實施例的例示性處理腔室300的示意性部分橫截面圖。處理腔室300可圖示一受限的配置,其中包括用於執行蝕刻、沉積、清洗或其他半導體處理操作的電漿源。該圖可展示處理腔室的某些特徵,任何處理腔室可包括該等特徵,例如上文描述的氣體分配板138。處理腔室300可包括前文所描述的系統100的任何態樣,且可圖示上文所描述的系統的額外細節,包括腔室中的電漿源。應理解該圖不預期以任何方式限制本技術,且任何類型的半導體或其他處理系統中可包括下文描述的電漿源,其中電漿生成可為有益的。另外,由於根據本技術之一些實施例的電漿源的高度可配置性質,因此相比於習知的設計可大大簡化腔室架構,因為幾乎任何腔室配置可包括該源,包括所圖示的基本腔室架構。
處理腔室300可包括處理腔室的一般態樣,其中整合電漿源,該電漿源經配置以藉由介電阻障放電運行以產生輸送至處理腔室中的前驅物的電漿流出物。處理腔室內可整合任何數量的其他部件,例如阻擋板、分配器、加熱或冷卻部件、遠端電漿源、泵送系統、控制器或半導體處理腔室的任何數量的其他特徵。例示性處理腔室可包括基板支撐件305及電漿源310。基板支撐件305可經配置以支撐基板306,以用於在基板處理區域308內處理。如圖所示,基板支撐件305可至少部分地自下方界定基板處理區域。基板支撐件305可包括任何額外的特徵,包括前文所描述的移動及旋轉能力,以及多個所整合部件,包括加熱或冷卻特徵以及電極,例如偏壓電極。基板支撐件305可包括上文所描述的支撐組件106的任何特徵,以及可便於基板306之夾持及/或處理的任何其他基板支撐件的特徵。
處理腔室300亦可包括電漿源310,電漿源310可位於處理腔室內且亦可用作氣體分配板,用於將一或多種前驅物輸送至處理區域308中以接觸基板306。因此,在一些實施例中,電漿源310可至少部分地自上方界定處理區域308,且可提供流體連通至處理腔室內的處理區域中。舉例而言,可經由一或多個入口將一或多種前驅物輸送至所圖示的腔室中。如上文所指出,可包括任何數量的其他部件,以便於經由腔室均勻地分配,且可用作扼流器或擋板以便於徑向及/或側向地分配前驅物。電漿源310可界定延伸穿過源的一或多個孔口,且其可最終分配前驅物以在基板306上操作,例如蝕刻或沉積或其他處理操作。
電漿源310可包括一或多個電極,可操作該一或多個電極以經由電漿源在所界定的孔口312內產生電漿。如下文將進一步解釋,藉由使用一或多個電極,每一孔口可用作單元,該單元可與任何其他單元獨立操作,或可與源一起操作。第4圖展示根據本技術的一些實施例的例示性電漿源310的示意性俯視圖,且可圖示一示意圖,說明根據本技術的一些實施例的介電阻障放電電漿源的一些部件及配置。
電漿源310可包括源基板405,可界定穿過源基板405的一或多個(包括複數個)孔口410。源基板405可包括下文將進一步描述的一或多個板,且可具有任何數量的幾何形狀。舉例而言,源基板405可具有如圖所示的直線的幾何形狀,以及任何橢圓形或弧形的幾何形狀,以及可有助於整合於基板處理腔室中的任何其他幾何形狀。源基板405可為或包括任何數量的材料,在一些實施例中,該等材料包括介電材料。舉例而言,源基板405可為或包括任何數量的介電或陶瓷材料,例如一或多種氧化物、氮化物或碳化物、碳酸鹽或其他基底材料。材料可包括矽、碳、鋁、鈉、鎂、鎢、釔、鋯或此等或其他元素(例如過渡金屬元素)的任何組合,該等材料可在半導體處理腔室內的處理環境中操作或承受半導體處理腔室內的處理環境。
可按任何圖案佈置穿過電漿源界定的孔口410,圖案可包括如圖所示的某一類型的網格。舉例而言,可圍繞源基板405上圖示的圓形投影佈置例示性電漿源310中的孔口,圓形投影可展示位於電漿源下游方向的基板的位置,例如上文描述的基板306。可在任何數量的圖案中界定孔口,其對於相關聯的基板亦可為直線的,或可使得前驅物分配至基板處理區域以與所處理的基板發生相互作用的任何其他圖案。另外,雖然將於下文描述以基於電極位置的XY網格圖案說明,但亦可使用額外的或替代的分配,包括徑向分配,可沿r、θ或極坐標系統形成該等分配,以及電漿源的態樣的任何其他佈置。所包括的孔口不意欲限制例示源內包含的任何數量的孔口或任何其他佈置的孔口,且該等孔口可延伸至對應於所處理基板的區域之外或之內,或任何其他孔口佈置。例示性電漿源可包括穿過源的一或多個孔口,且可包括穿過用於半導體處理之基板之任何範圍尺寸之源所界定的十個、數百個或數千個孔口,包括自數百毫米或更小至數百米或更大的尺寸。孔口410可形成為具有任何尺寸或直徑,且在電漿源上可具有一致或變化的直徑。在一些實施例中,孔口410可具有小於10 mm或大約10 mm的直徑,且可具有小於9 mm或大約9 mm,小於8 mm或大約8 mm,小於7 mm或大約7 mm,小於6 mm或大約6 mm,小於5 mm或大約5 mm,小於4 mm或大約4 mm,小於3 mm或大約3 mm,小於2 mm或大約2 mm或更小的直徑。
電漿源310亦可包括一或多個(包括複數個)電極,該電極在源基板405上延伸,且與穿過源界定的一或多個孔口410相交。可在實施例中操作電極以在穿過源的孔口中產生放電,且這可產生多個電漿單元,可經由該等電漿單元輸送且電漿增強一或多種前驅物。電極可包括:第一組電極,包括一或多個第一電極415;及第二組電極,包括一或多個第二電極420。在一些實施例中,可將第二電極佈置為與第一電極有角度偏移,包括按圖示將第二電極安置為垂直於第一電極。在隱藏視圖中圖示第二電極420,第二電極可位於與第一電極415不同的穿過電漿源的平面。舉例而言,在一些實施例中,雖然第二電極420可與第一電極415重疊,但可將第二電極維持為與第一電極415中之每一者實體分隔。根據本技術的一些實施例,第一電極415中之每一者及第二電極420中之每一者可與電漿源310中的任何其他電極實體及/或電性隔離,這可允許獨立地操作電極。類似於孔口,根據本技術的實施例的例示性電漿源可包括任何數量的第一電極及第二電極(包括一或多個),且在本技術的實施例中可包括數十個、數百個或數千個電極。
如圖所示,第一電極415可與第一電源417耦接,且第二電極420可與第二電源422耦接。可藉由控制器191或下文描述的任何其他系統控制器操作電源中之任一者或兩者。耦接可包括在一些實施例中延伸出基板的電極,及/或可包括電源的接觸源基板上的電極材料的延伸部分。來自每一電源的源基板的相對側上可包括額外的電連接,或某一其他電耦接,其可促進每一個別電極上的電壓輸送。在一些實施例中,第一電源417及第二電源422可為單一電源,其提供多個不同輸出,以及在以不同方式耦接的電極上提供不同電壓的能力。不管單一電源還是多個電源,電源可與電極電性地耦接以在電極上提供電壓。電源可具有多工能力,可允許對與電源耦接的任何個別的電極施加電壓,且在一些實施例中可輸送單一的電壓或一定範圍電壓。在一些實施例中,第一電源417經配置以沿一或多個第一電極415輸送第一電壓,且第二電源422經配置以沿一或多個第二電極420輸送第二電壓。
來自任一電源的電壓可在任何範圍中或可包含任何電壓,但在一些實施例中,第一電源及第二電源中之每一者可經配置以沿可與其電耦接的任何電極輸送小於或大約500V的電壓。如下文將進一步描述,所輸送的電壓可與任何重疊的第一電極與第二電極之間的崩潰電壓相關。舉例而言,雖然每一電源可輸送相似的電壓或一範圍中的任何電壓,但在一些實施例中,第一電源可經配置以沿第一電極中之每一者輸送或提供第一電壓,且第二電源可經配置以沿第二電極中之每一者輸送或提供可低於第一電壓的第二電壓。又,在一些實施例中,可使用單一的電源,其可經配置以輸送所描述的單獨的電壓。因此,且如下文將進一步描述的,在本技術的實施例中,可按定址功能及維持功能操作電極,以經由電漿源在每一個別的電漿單元內產生電漿放電脈衝。根據本技術的電極可為或包括在源基板上列印或形成的任何數量的導電材料。舉例而言,在本技術的一些實施例中,可將銅、鎢、鉬、鎳、鐵、銀、鈷、金或其他金屬(包括過渡金屬)用作電極。可類似地使用多種材料組合或合金,作為非限制性實例可包括鎳鈷鐵合金,以及此等材料的一或多個表面上沉積有額外的導電材料層。
第5圖展示根據本技術的一些實施例的穿過第4圖之線A-A之例示性電漿源310的部分示意性橫截面圖。應理解不應將源視為任何特定的範圍,且源可包括前文描述的任何數量的孔口及電極。舉例而言,電漿源310可包括源基板405,源基板405具有經由其界定的一或多個孔口410。電漿源310亦可包括所圖示的一組第一電極415及一組第二電極420。應理解上文所描述的電漿源310可包括任何數量的孔口及電極。
如圖所示,在本技術的一些實施例中,電漿源310可包括一或多個板以產生源基板405。可使板相互黏著、接合、燒結、機械地結合或以其他方式耦接,以產生電漿源310。在一些實施例中,一或多個板中之每一板可為與源中所有其他板相同的材料,但在一些實施例中對於不同板可使用不同的材料。舉例而言,在一些實施例中,第一板505及第二板510可為相同或不同的材料,且第一板505及第二板510中之每一者可為與安置於第一板與第二板之間的第三板515相同或不同的材料。源基板405的任何板可為前文所描述的材料中之任一者。
如圖所示,在一些實施例中,電漿板310可包括耦接或形成在一起以形成單獨板的多個板。板中之任一者可包括如前文描述的介電或陶瓷材料。電漿源310可包括第一板,該第一板界定穿過第一板形成的一或多個(包括第一複數個)孔口506。可如前文所述以任何數量的佈置包括孔口,包括呈第一組列的孔口,圖中示了單一列,而上文第4圖展示了其他列。第一板亦可包括一或多個(包括第一組)電極415,其中以所展示的橫截面說明一個電極415a。可沿第一組列分配第一組電極415,且第一組電極中之每一電極可與第一複數個孔口506中之至少一孔口相交。
電漿源310可包括第二板510,該第二板510界定穿過第二板界定的一或多個(包括第二複數個)孔口512。可按任何數量的佈置(包括第二組列)來佈置孔口,在一些實施例中第二組列可與第一組列相似或相同。如圖所示,每一第二孔口512可與第一孔口506軸向對準或同軸,且在一些實施例中,第二板510可界定與第一板505數量相同的孔口。電漿源310亦可包括位於第一板505與第二板510之間的第三板515。第三板515可界定一或多個(包括第三複數個)孔口516。第三板515可界定與其他板數量相同的孔口,且每一第三孔口516可與對應的第一孔口506及/或對應的第二孔口512軸向對準或同軸。如圖所示,第一孔口506、第二孔口512及第三孔口516的每一軸向對準的孔口可形成延伸穿過電漿源310的通道。此等通道中之每一者可為個別的電漿單元,其可單獨地具有藉由操作電極在通道內形成的電漿。
電漿源310可包括一或多個(包括第二組)電極420,其可垂直於第一電極415延伸或以與第一電極415的某一其他角度偏移延伸。由此,電極420可按如圖所示的定向延伸穿過頁面,其中以所展示的橫截面圖示電極420a、420b、420c、420d及420e。可沿第二組列分配第二組電極420,且第二組電極中之每一電極可與複數個第二孔口512中之至少一孔口相交。第一電極415中之每一者及第二電極420中之每一者可在源基板上沿個別列單獨延伸,且可形成條帶,其可限制或阻止電漿源上任何兩個電極之間接觸。由此,在一些實施例中源的每一板為介電材料的情況下,每一電極可與基板上的每一其他電極電性或實體隔離。當然,在本技術包含的一些配置中,在電源處可發生任何數量的電耦接。
在一些實施例中,電漿源可包括一或多個額外的板,且其在本技術的一些實施例中可包入或保護電漿源的態樣。舉例而言,第四板517可與第一板505的表面耦接,該表面與第一板505的耦接至第三板515的表面相對。第四板517可界定一或多個(包括第四複數個)孔口518,其可與第一板505及第二板510的孔口軸向對準。第五板519可與第二板510的表面耦接,該表面與第二板510的耦接至第三板515的表面相對。第五板519可界定一或多個(包括第五複數個)孔口521,且其可與第一板505及第二板510的孔口軸向對準。第四板517及第五板519可由前文所描述的材料中之任一者製成,且可為與其他板中之任一者相同或不同的材料。在半導體處理期間,板可提供對電漿源的其他板的物理及或化學保護。另外,在一些實施例中,第四板517及第五板519可為沿第一板及第三板施加的塗層,其可為在電漿源上噴塗或以其他方式施加的介電或其他保護塗層。
如前文所描述,可在穿過電漿源的不同平面上形成第一電極415及第二電極420,其可阻止電極之間短路。舉例而言,第一電極415可形成於第一板505的第一表面上,且第二電極420可形成於第二板510的第一表面上。雖然圖示為板上方,但應理解根據本技術中的電極可為幾微米或更小的數量級,且覆蓋電極的板可完全容納該等電極。另外,在一些實施例中,可在對應於每一列或圖案的位置在第一板505及第二板510的第一表面中形成溝槽,且可在溝槽中形成或沉積電極材料。
在電漿處理期間的操作中,電極可進一步沿與每一電極相交的孔口的側壁延伸,這可維持穿過每一孔口的每一電極的連續性,且可便於形成個別的電漿單元。因此,每一電極可包括環形部件,其圍繞與電極相交的每一孔口的內部延伸。如圖所示,第一電極415a連同每一其他第一電極415可沿第一板505的第一表面延伸,且可進一步沿每一孔口506的側壁延伸,該每一孔口506穿過板形成且與相關聯的電極415相交。類似地,第二電極420(包括所圖示的每一個別的第二電極)可沿第一板510的第一表面延伸,且可進一步沿每一孔口512的側壁延伸,該每一孔口512穿過板形成且與相關聯的電極420相交。電極材料可完全或部分地延伸穿過孔口,且在一些實施例中可自板的第一表面(沿該第一表面形成電極)至與其相對的第二表面穿過孔口均勻地形成電極材料。電極材料亦可在孔口內形成至任何厚度,包括小於或大約100 μm的厚度,且可形成至小於或大約80 μm、小於或大約60 μm、小於或大約50 μm、小於或大約40 μm、小於或大約30 μm、小於或大約20 μm、小於或大約10 μm、小於或大約50 μm或更小的厚度。可藉由板515的厚度控制每一通道或電漿單元內第一電極415與第二電極420之間的分隔。此間隙可界定用於兩個電極之間放電的空間。
在本技術的實施例中,源310的板中之任一者可具有小於或大約1 cm的直徑,且可具有小於或大約9 cm、小於或大約8 cm、小於或大約7 cm、小於或大約6 cm、小於或大約5 cm、小於或大約4 cm、小於或大約3 cm、小於或大約2 cm、小於或大約1 cm、小於或大約0.5 cm或更小的直徑。舉例而言,可根據其中可在特定電壓下操作電漿源的壓力區將第三板51大小5調整至一厚度。舉例而言,在一個非限制性實例中,在約100 V與約300 V之間的電壓下及在自約5托至約50托的壓力下操作的電漿源可包括第三板,在一些實施例中該第三板具有約3 mm與約5 mm之間的厚度。
為便於作為電漿產生電極操作,在一些實施例中可在電極材料上方形成介電材料。雖然可在電漿源的板上的電極材料上方完全形成介電材料,但在一些實施例中,可在沿所圖示的板的每一孔口的側壁延伸的電極材料上方形成介電材料。舉例而言,可在沿穿過第一板505的每一孔口的側壁形成的電極材料上方形成第一介電材料525。另外,可在沿穿過第二板510的每一孔口的側壁形成的電極材料上方形成第二介電材料530。第一介電材料525及第二介電材料530可為相同或不同的材料,且可為前文所描述的介電材料中之任一者。亦可使介電材料形成為其他地方所描述的電極、孔口的厚度中之任一者,或所指出的任何其他厚度或尺寸。應理解雖然圖式說明為孔口內容納介電材料,但為了說明電極條的連續性,在一些實施例中介電材料可圍繞穿過源的通道或電漿單元的任何曝露的電極材料延伸,且因此在一些實施例中,沒有電極材料部分可穿過延伸穿過源的孔口410曝露。
在一些實施例中,介電材料可為與對應板相同的材料,但在一些實施例中,介電材料可為與板材料不同的材料。作為一個非限制性實例,第一板505可由諸如氧化鋁的第一介電材料形成,且介電材料525可為諸如氧化鎂的不同介電材料,但對於任何板的材料或介電材料可使用前文所指出的材料中之任一者,但所選擇的材料及形成介電材料的厚度可影響可發生崩潰以在電漿單元內產生放電的電壓。藉由在第一電極上提供第一電壓,且藉由在與第一電極在特定通道或穿過源形成的電漿單元重疊的第二電極上提供第二電壓,可在電漿單元內形成放電,這可實現穿過孔口輸送的前驅物的電漿激勵。下文將進一步描述電漿單元的操作。
第6圖展示根據本技術的一些實施例的例示性電漿源600的示意性橫截面圖。上文描述的電漿源說明本技術的一些實施例所包含的二維電極配置的一配置。本技術所包含的其他電漿源可包括一維電極配置、三維電極配置或包括其他電極的任何數量的配置。舉例而言,電漿源600可圖示三電極電漿源,其可包括多個維持電極,其之間形成有定址電極。
電漿源600可包括前文所描述的任何電漿源(包括電漿源310)的特徵、特性或部件中之任一者。類似地,前文所描述的任何系統或處理腔室以及可執行電漿處理的任何其他處理腔室可包括電漿源600。在一些實施例中,電漿源600可包括類似於電漿源310的佈置,具有電漿源的額外板,且具有與源整合的額外電極。舉例而言,電漿源600可包括耦接在一起的第一板605、第二板610及第三板615。可在第一板605與第二板610之間安置第四板620,且可在第二板610與第三板615之間安置第五板625。可包括額外的第六板630及第七板632,其可另外為塗層,可包含其他板,例如與所圖示的第一板及第三板的相對端耦接,且可提供對電漿源的保護及包圍。
第一電極606或第一組電極可按第一方向或第一佈置在第一板上延伸,其與穿過板的一或多個孔口相交。第二電極612或第二組電極可按垂直於第一方向的第二方向或以與穿過板的一或多個孔口相交的第二佈置在第二板上延伸。額外地,第三電極616或第三組電極可按(例如)類似於沿第一板的方向的第一方向或以與穿過板的一或多個孔口相交的第三佈置在第三板上延伸。在此佈置中,平行形成的第一電極及第三電極中之每一者可作為維持電極運行,其可在電漿源的操作期間提供額外的電效率。
第7圖展示根據本技術之一些實施例的電漿源700之例示性單元的示意性俯視圖,且可圖示穿過孔口的電極的層狀部件。電漿源700可包括前文所描述的任何電漿源(包括電漿源310)的特徵、特性或部件中之任一者。類似地,前文所描述的任何系統或處理腔室或可執行電漿處理的任何其他處理腔室可包括電漿源700。
如圖所示,電漿源700可包括源基板705,可穿過源基板705界定孔口710或電漿單元。第一電極715可沿電漿源700的第一表面(例如沿第一板)延伸,且可包括在上文所描述的源中,例如形成在板之間或由介電材料包圍。第一電極715可沿源呈條帶延伸,且可為源上包括的多個電極中之一者。第二電極720可沿源的第二表面延伸,包括上文描述的源內或由介電材料包圍的第二電極。可在不同的平面中形成電極,包括沿穿過源形成的孔口710的不同部分形成電極。如圖所示,電極715及類似的電極720可沿源的表面延伸,以及沿穿過基板形成的孔口710的側壁延伸。隨後電極可包括環形部件,其沿孔口延伸以沿與電極相交的每一孔口提供連續性。
如前文所描述,可在沿孔口710之側壁形成的電極部分上方形成介電材料725。介電材料可促進穿過源的孔口內的第一電極715與第二電極720之間的介電阻障放電。雖然僅沿電極材料上方的內部側壁說明,其中在源基板705與介電材料725之間安置電極材料,但應理解在一些實施例中,介電材料可延伸至孔口之外,且可延伸超出電極的整個環形部分很多,以限制或阻止孔口或電漿單元內的任何電極材料曝露。
第8圖展示根據本技術的一些實施例的例示性電漿源800的示意性橫截面圖,且可說明穿過源的孔口輪廓的變化。電漿源800可包括前文所描述的任何電漿源(包括電漿源310)的特徵、特性或部件中之任一者。類似地,前文所描述的任何系統或處理腔室或可執行電漿處理的任何其他處理腔室可包括電漿源800。
電漿源800可包括類似於上文如第5圖所示的電漿源310的特徵,且可包括彼等部件中之一些或全部,包括可穿過其界定第一孔口806的第一板805、可穿過其界定第二孔口812的第二板810及可穿過其界定第三孔口816的第三板815。一組第一電極807可在第一板805的表面上延伸,且一組第二電極813可在第二板810的表面上延伸。如前文所指出,每一第一孔口可與相關聯的第二孔口及第三孔口同軸以界定穿過源800的通道或電漿單元。板中之任一者的孔口中之任一者可具有上文所描述的孔口直徑中之任一者,且在一些實施例中,板中之至少一者可界定源的其他板中之一者或全部的不同直徑的孔口。
舉例而言,源800表示兩個額外的孔口輪廓,其可被單獨包括或以與穿過本技術的實施例所包含的源的任何其他孔口輪廓組合包括,且源800可圖示本揭示案所描述的任何電漿源的孔口輪廓的實施例。舉例而言,兩個孔口輪廓包括電漿單元,其中第一孔口806具有第一直徑,且其中第三孔口816具有不同於第一直徑的第二直徑。如圖中包含的第一實例所示,第三孔口816a具有小於第一孔口806之直徑的直徑。藉由有效地增加第一電極807與第二電極813之間形成的材料量,源可經配置以在較低的壓力區處運行,這在一些實施例中可在小於或大約5托下延伸。另外,增加間隙距離可提高電漿單元內放電的電壓。
如圖中包含的第二實例所示,第三孔口816b具有大於第一孔口806之直徑的直徑。另外,電極材料807可在如圖所示的第一板805的第一表面延伸,且可為前文所描述的條帶。電極材料807亦可在與第一表面相對的第一板805之第二表面上延伸,例如在第一板與第二板之間由第三板佔用的間隙中延伸。類似地,可沿第二板810的第一表面形成電極材料813,且可如圖所示在圍繞孔口812的增加的環形突出部分或延伸部分中形成電極材料813。第一電極材料807及第二電極材料813兩者的延伸可在相對面的表面上發生同樣的程度。另外,穿過第一孔口806形成的介電材料820及穿過第二孔口812形成的介電材料822可如圖所示進一步圍繞額外的電極材料延伸。
舉例而言,介電材料可在額外的電極材料上方的間隙中延伸,以限制或阻止任一電極的曝露,這可發生於第一板的第一表面上,以限制或阻止電漿單元內電極材料的曝露。藉由有效地減少第一電極807與第二電極813之間的材料量及間隙,源可經配置以在較高的壓力區運行,壓力區在一些實施例中可為高於或大約5托,且可延伸至大於或大約10托、大於或大約15托、大於或大約20托、大於或大約25托、大於或大約30托、大於或大約35托、大於或大約40托、大於或大約45托、大於或大約50托或更高的壓力。另外,在一些實施例中增加間隙距離可降低電漿單元內放電的電壓。
可操作前文所描述的源以產生用於半導體處理中的電漿增強前驅物。穿過源形成的電漿單元可允許獨立產生的電漿輪廓,其可影響所產生的製程的基板位準效能。藉由在每一電漿單元使用定址及維持電漿功能,且藉由調整維持功能期間每一單元發生的電漿脈衝的數量,可專門設定穿過源的每一電漿單元中產生的沉積材料、蝕刻劑或處理材料的量以提高基板位準的製程均勻性。
第9圖展示根據本技術之一些實施例的處理半導體基板的方法900的選定操作。可在各個處理腔室(包括上文所描述的處理系統300)中執行方法,該等處理腔室可包括根據本技術的實施例的電漿源,例如前文所討論的任何電漿源的任何部件、配置或特性。方法900可包括多個可選的操作,該等操作可或可不與根據本技術的方法的一些實施例具體相關聯。為便於理解,可結合方法900的操作描述例示性蝕刻製程,但應理解該製程不意欲具有限制性,且可根據本技術類似地包含的方法900及變化類似地執行任何數量的蝕刻、沉積、處理或清洗操作。
可在處理腔室中執行方法900,該處理腔室包括前文所描述的電漿源。電漿源可包括穿過源的一或多個孔口,以及一組第一電極及一組第二電極。可包括或配置一或多個電源以沿該組第一電極中之每一電極輸送第一電壓,且沿該組第二電極中之每一電極輸送第二電壓。在例示性實施例中,源可利用電極及介電材料及厚度形成以在每一電漿單元內產生250V的放電。應理解可調整此等材料及配置以產生前文所描述的任何電壓範圍內的放電。另外,雖然電源可經配置以輸送前文所描述的電壓範圍內的電壓,但每一電源可經具體配置以輸送低於所指定操作條件下每一單元內發生放電的電壓的一電壓。亦可組合配置電源以輸送一電壓,該電壓加在一起可大於發生放電的電壓。
繼續非限制性實例,第一電源可經配置以輸送可小於或大約250V(可為200V)的第一電壓,但可選擇此範圍內任何數量的其他電壓。舉例而言,第一電極可為維持電極。另外,第二電源可經配置以輸送可小於或大約250V且可為80 V的第二電壓,但可選擇此範圍內任何數量的其他電壓。舉例而言,第二電極可為位址電極。因此,當任一電源沿特定電極輸送電壓時,任何個別的電漿單元內可不存在放電效應。然而,當重疊的電極類似地接收電壓時,電極重疊的電漿單元或通道可接收高於兩個電極之間發生放電的電壓,且由此,例如在沒有沿任一電極的任何其他單元內部發生放電的情況下,彼單元內可發生放電。藉由協調沿第一電極中之每一者及第二電極中之每一者的電力輸送,可在源的每一通道或電漿單元內獨立地產生電漿。
可使用控制(例如前文所描述的對源的每一電漿單元進行定址的系統控制器)來發生用於產生電漿的控制方案,且隨後在脈衝方案下維持單元,該脈衝方案可在所要的基板上產生處理輪廓。定址單元可涉及沿對應的電極提供電壓,該等電極提供高於單元崩潰電壓的淨電壓。舉例而言,在可選的操作905處,可沿第一電極中之每一者輸送第一電壓(例如實例中為200 V),這類似於多工器的掃描功能。另外,在可選的操作910處,可沿所選的第二電極(包括所有電極)輸送第二電壓(例如同一實例為80 V),以超出其中接收電壓的電極重疊所處的每一單元處的崩潰電壓。此過程可用後續處理操作的前驅物、惰性前驅物或載體前驅物執行,或在處理腔室的環境中執行。因此,沿第一電極輸送的電壓與沿第二電極輸送的電壓之間可能發生某一重疊,以在源的一或多個電漿單元(包括所有電漿單元)中產生放電。
當在定址操作中於特定電漿單元內發生放電時,表面電荷仍存在於兩個電極的介電層上。此表面電荷可低於單元崩潰電壓,但可高於單元崩潰電壓與沿維持電極提供的電壓之間的差。可在操作915中輸送用於半導體處理的前驅物,且將該前驅物輸送至整合電漿源(例如本揭示案中描述的任何電漿源)的半導體處理腔室中。前驅物可流過腔室,且在輸送至處理區域並與基板發生相互作用之前分配穿過電漿源的通道或電漿單元,該電漿源亦可為氣體分配板。當穿過源的電漿單元輸送前驅物,在操作920中,第一電源可沿第一電極或維持電極輸送第一電壓,例如仍在本實例中為200 V。隨後可將歸因於由先前定址的源的電漿單元內的介電表面上的記憶電荷的電壓添加至維持電極的所施加電壓,該電壓可再次超過單元崩潰電壓,從而僅在先前定址的單元內造成電漿的額外放電脈衝。這可在操作925中在穿過電漿源的個別的通道或電漿單元內形成電漿,且這可產生電漿增強前驅物,該電漿增強前驅物流過源。可包括一或多個前驅物的前驅物隨後可與基板發生相互作用以執行基板處理。
如果所有電漿單元具有相似的尺寸,且每一電極上施加的電壓相同,則每一單元內產生的電漿功率可與操作循環期間每一單元內發生的放電脈衝數量成比例。在每一循環,可重設且隨後重定址個別的電漿單元,這可調整接收記憶電荷的單元。當單元在定址循環中不接收記憶電荷時,單元將在後續的維持週期期間將不放電。由於循環可以毫秒或微秒為單位,因此幾秒或幾分鐘的處理操作期間每一單元處可發生的脈衝的數量可為數百、數千、數萬或數十萬個電漿脈衝。因此,藉由調整特定單元所接收的脈衝的數量,可在前饋環中調整對基板執行的製程。
舉例而言,可對前文所描述的整合電漿源的腔室中容納的基板執行諸如蝕刻製程的製程。應理解可類似地執行例示性的沉積、處理、清洗或其他操作。蝕刻製程可在一段時間內發生,期間可操作電漿單元以便每一電漿單元在該段時間內放電某一數目次。作為一個非限制性實例,可操作每一電漿單元以在該段時間內放電10,000次,但可至少部分地基於電源頻率配置任何數量的脈衝。理論上,所執行的蝕刻製程應在基板上均勻地發生,但這不總是發生。舉例而言,由於處理腔室內前驅物流動的非均勻性、基板上溫度分佈的非均勻性或任何其他數量的因素,蝕刻製程可在基板上產生輪廓。
可隨後執行蝕刻製程、計量或其他分析以識別製程的任何非均勻性,例如邊緣高的輪廓、中心高的輪廓、徑向或平面非均勻性或此等問題的組合。舉例而言,分析可確定相比於在產生邊緣高輪廓的邊緣,在基板中心更容易執行蝕刻。作為回應,可調整處理以減少中心蝕刻,增加邊緣蝕刻或進行兩者。藉由調整電漿源的每一電漿單元的放電脈衝,可經由電漿源的不同區域產生增加或減少的蝕刻劑前驅物,從而可提供所尋求的變化。
為在源中產生此等效果,對於電漿單元可修改定址方案。舉例而言,雖然仍在處理時間內操作源中的每一電漿單元,可經由定址方案調整每一單元中產生的放電脈衝的數量。舉例而言,為產生定址方案,可在處理時間內產出多個子欄位作為一組訊框。對於處理時間段中的每一子欄位及訊框,數位控制器或系統控制器的態樣可產生定址及維持方案。在每一子欄位時間段的開始,可如前文所描述的對每一電漿單元進行定址,以維持或丟失記憶電荷。在後續的維持脈衝期間,對於每一子欄位時間段,僅維持記憶電荷的電源可進一步放電,這可允許在處理時間的每一訊框期間調整每一電漿單元內的電漿強度。
繼續至上文的蝕刻實例,藉由增加電漿源外圍的電漿單元的放電脈衝數量,及/或藉由減少電漿源的中心區域的電漿單元的放電脈衝數量,可在處理區域的徑向邊緣相對地增加電漿強度,且可在處理區域的中心區域相對地降低電漿強度。這可增加邊緣區域的蝕刻,且可降低中心區域的蝕刻。就脈衝而言,根據所執行製程中的變化,源上的每一單元可繼續接收可在操作時間段內產生的脈衝總數量的50%多或大約50%,且可繼續接收脈衝總數量的60%多或大約60%、脈衝總數量的70%多或大約70%、脈衝總數量的80%多或大約80%、脈衝總數量的90%多或大約90%、脈衝總數量的95%多或大約95%、脈衝總數量的99%多或大約99%或更多。
舉例而言,儘管處理時間內源的中間區域的電漿單元可接收9,000次放電脈衝,但處理時間內源的中心區域的電漿單元可接收8,000次放電脈衝,處理時間內源的徑向邊緣區域的電漿單元可接收10,000次放電脈衝。當然,類似地包含源上任何數量的變化及各別的電漿單元,在源上可獨立地調整每一個別的單元,以提供複雜的電漿輪廓,其可適應任何數量的源的製程非均勻性。
舉例而言,可使用處理成像資料及對解決或適應製程非均勻性的定址方案的調整,以產生或啟動結果或後果庫,該結果或後果庫可便於未來的製程。可藉由用於機器學習的處理器進入此所產生的庫,其中可實施演算法以根據處理情境識別圖案,這可提供機器學習模型,以促進對處理或腔室條件的預測性調整。演算法可包括對以下各項的考慮:腔室條件、製程條件、系統部件的材料或性質、定址方案、每次對放電脈衝的調整時對處理的影響,以及可在處理期間收集且分析以訓練機器學習模型的其他任何數量的考慮因素。可基於特定源內的電漿單元的數量,為脈衝方案或定址操作開發深度機器學習演算法。
機器學習可進一步填充資料庫,且迭代地改進任何數量的腔室或處理情境的預測。因此,模型隨時間可藉由基於先前所接收或模型化的處理成像資料及對個別電漿單元的放電脈衝總數的調整預測影響來控制處理,且可原位調整任何數量的處理參數,以增加或減少電漿源上每一電漿單元的放電脈衝,且改進製程結果及操作的均勻性。藉由根據本技術的一些實施例使用電漿源內可個別定址的電漿單元,與很多習知的處理腔室相比,可在較高的壓力及較低的電壓下執行改進的電漿處理。
可調適一或多個計算裝置或部件以藉由存取電腦可讀形式所呈現的軟體指令來提供本文所描述的所要功能性中之一些。計算裝置可處理或存取用於本技術之部件中之一或多者的操作的訊號,例如對處理時間的每一訊框的每一子欄位中電漿源上的個別單元定址。當使用軟體時,可使用任何合適的程式化、指令碼或其他類型的語言或語言組合來執行所描述的製程。然而,不需專門使用軟體,或不需使用軟體。舉例而言,亦可藉由硬佈線邏輯或其他電路系統(包括但不限於特殊應用電路)實施上文所描述的本技術的一些實施例。電腦執行的軟體或硬佈線邏輯或其他電路系統的組合亦適合。
可藉由經調適以執行前文所討論的一或多個操作的一或多個合適的計算裝置執行本技術的一些實施例。如上文所指出,此等裝置可存取一或多個電腦可讀媒體,其中包含電腦可讀指令,當由裝置中整合的至少一個處理器執行時,該等指令使至少一個處理器實施本技術的一或多個態樣。額外地或替代地,計算裝置可包括電路系統,其使得裝置可操作以實施所描述的方法或操作中之一或多者。
可使用任何合適的電腦可讀媒體實施或實踐本技術的一或多個態樣,其包括但不限於磁片、驅動機及其他磁基儲存媒體、光學儲存媒體,包括諸如CD-ROM、DVD-ROM或其變化、快閃、RAM、ROM及其他記憶裝置及類似者。
在前文的描述中,出於解釋的目的,已闡述許多細節,以便理解本技術的各個實施例。然而,對於熟習此項技術者顯而易見的是,可在無此等細節中的一些者或存在其他細節的情況下實踐某些實施例。
雖然已揭示若干實施例,但熟習此項技術者應瞭解可在不脫離實施例精神的情況下使用修改、替代構造或等效物。另外,未描述許多已知的製程及要素,以便避免不必要地使本技術難以理解。因此,不應認為以上描述限制本技術的範疇。
在提供數值範圍的情況下,應理解除非上下文另有明確規定,亦具體地揭示彼範圍的上限及下限之間的小至下限單位最小分數的每一中介值。涵蓋所說明範圍中的任何所說明值或未說明中介值與任何其他所說明或中介值之間的任何較窄的範圍。彼等較小範圍的上限及下限可獨立地包括於範圍或自範圍中排除,並且本技術亦涵蓋每一範圍(上限及下限均不包括於較小的範圍中,或其中一者或兩者均包括於較小的範圍中),其中每一範圍受到所說明範圍中的具體排除的限值的限制。在所說明範圍包括限值中的一者或兩者,亦包括排除彼等所包括限值中之一者或兩者的範圍。
如本文及所附請求項所使用,除非上下文另有明確指出,單數形式的「一」(「a」)、「一」(「an」)及「該」包括複數的提法。由此,舉例而言,提及「一電極」包括複數個此類電極,提及「該電漿單元」包括提及熟習此項技術者已知的一或多個電漿單元及其等效物,諸如此類。
此外,當用於本說明書及以下請求項中時,用語「包含」(「comprise(s)」)、「包含」(「comprising」)、「含有」(「contain(s)」)、「含有」(「containing」)、「包括」(「include(s)」)及「包括」(「include(s)」)指明所說明特徵、整數、組件或操作的存在,但其不排除存在或添加一或多個其他特徵、整數、組件、操作、行為或群組。
10:處理系統 12:工廠介面 14a:艙裝載器 14b:艙裝載器 14c:艙裝載器 14d:艙裝載器 16a:裝載閘腔室 16b:裝載閘腔室 18a:機器人 18b:機器人 20:移送腔室 22:傳輸機構 22a:葉片 22b:可延伸臂 24a:腔室 24b:腔室 24c:腔室 24d:腔室 26:維護腔室 28:整合式計量腔室 100:腔室 101:基板 102:腔室主體 104:蓋組件 106:支撐組件 108:內部容積 110:縫閥開口 114:孔口 116:泵送通道 118:真空口 120:真空系統 124:節流閥 126:第一電極 128:第二電極 130:電漿空腔 132:電源 134:氣體入口 136:隔離環 138:氣體分配板 140:阻擋板 142:蓋邊緣 144:孔口 146:孔口 148:孔口 180:支撐構件 182:提升機構 184:軸 186:波紋管 188:升舉銷 190:升舉環 191:控制器 192:中央處理單元 194:記憶體 196:附件裝置 300:處理腔室 305:基板支撐件 306:基板 308:基板處理區域 310:電漿源 312:孔口 405:源基板 410:孔口 415:第一電極 415a:電極 417:第一電源 420:第二電極 420a:電極 420b:電極 420c:電極 420d:電極 420e:電極 422:第二電源 505:第一板 506:孔口 510:第二板 512:第二孔口 515:第三板 516:第三孔口 517:第四板 518:孔口 519:第五板 521:孔口 525:第一介電材料 530:第二介電材料 600:電漿源 605:第一板 606:第一電極 610:第二板 612:第二電極 615:第三板 616:第三電極 620:第四板 625:第五板 630:第六板 632:第七板 700:電漿源 705:源基板 710:孔口 715:第一電極 720:第二電極 725:介電材料 805:第一板 806:第一孔口 807:第一電極 810:第二板 812:孔口 813:電極材料 815:第三板 816a:第三孔口 816b:第三孔口 820:介電材料 822:介電材料 900:方法 905:操作 910:操作 915:操作 920:操作 925:操作 A:線 W:基板
可參考說明書及圖示的剩餘部分進一步理解所揭示技術的性質和優點。
第1圖展示根據本技術的一些實施例的例示性處理系統的示意性俯視圖。
第2圖展示根據本技術的一些實施例的例示性處理系統的示意性橫截面圖。
第3圖展示根據本技術的一些實施例的例示性處理腔室的示意性部分橫截面圖。
第4圖展示根據本技術的一些實施例的例示性電漿源的示意性俯視圖。
第5圖展示根據本技術的一些實施例的例示性電漿源的穿過第4圖之線A-A之示意性部分橫截面圖。
第6圖展示根據本技術的一些實施例的例示性電漿源的示意性橫截面圖。
第7圖展示根據本技術的一些實施例的例示性電漿源單元的示意性俯視圖。
第8圖展示根據本技術的一些實施例的例示性電漿源的示意性橫截面圖。
第9圖展示根據本技術的一些實施例的半導體基板處理方法的選定操作。
圖示中的若干者為示意圖。應理解圖示係用於說明的目的,且除非明確指出為按比例的,否則不應認為其為按比例的。另外,提供作為示意圖的圖式以幫助理解,且圖式可能不包括與實際表示相比的所有態樣或資訊,且可為了說明性目的而包括誇示的材料。
在附圖中,相似的組件及/或特徵可具有相同的元件符號。另外,可藉由在元件符號後添加區分相似組件的字母來區分同一類型的各個組件。如果說明書中僅使用第一元件符號,則不管字母,描述適用於具有同一第一元件符號的相似組件中的任一者。
國內寄存資訊(請依寄存機構、日期、號碼序列註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼序列註記) 無
310:電漿源
405:源基板
410:孔口
415:第一電極
417:第一電源
420:第二電極
422:第二電源
A:線

Claims (20)

  1. 一種電漿單元,其包含: 一第一板,其界定以一第一組列佈置的第一複數個孔口,其中該第一板包含一第一組電極,該第一組電極中之每一電極沿該第一組列的一單獨列延伸; 一第二板,其界定以一第二組列佈置的第二複數個孔口,其中該第二板包含一第二組電極,該第二組電極中之每一電極沿該第二組列中之一單獨列延伸,且其中該第二複數個孔口中之每一孔口與該第一複數個孔口中之一孔口軸向對準;及 一第三板,其位於該第一板與該第二板之間,其中該第三板界定第三複數個孔口,該第三複數個孔口中之每一孔口與該第一複數個孔口中之一孔口及該第二複數個孔口中之一孔口軸向對準。
  2. 如請求項1所述之電漿源,其中該第一複數個孔口中的每一軸向對準的孔口、該第二複數個孔口中的每一軸向對準的孔口及該第三複數個孔口中的每一軸向對準的孔口形成延伸穿過該電漿源的一電漿單元。
  3. 如請求項1所述之電漿源,其進一步包含: 一第一電源,其與該第一組電極之每一電極電氣耦接,該電源經配置以沿該第一組電極之每一電極輸送一第一電壓。
  4. 如請求項3所述之電漿源,其進一步包含: 一第二電源,其與該第二組電極中之每一電極電氣耦接,該第二電源經配置以沿該第二組電極中之每一電極輸送一第二電壓。
  5. 如請求項4所述之電漿源,其中該第一電源及該第二電源經配置以在位於各自接收電力的該第一組電極之一重疊電極及該第二組電極中之一電極的一電漿單元內產生一放電。
  6. 如請求項1所述之電漿源,其中該第一複數個孔口中之每一孔口具有一第一直徑,且其中該第三複數個孔口中之每一孔口具有與該第一直徑不同的一第二直徑。
  7. 如請求項1所述之電漿源,其中沿該第一板的一表面將該第一組電極中之每一電極保持於與該第一組電極中之每一其他電極電性隔離。
  8. 如請求項1所述之電漿源,其中該第一組電極中之每一電極沿該第一板的一第一表面延伸,且其中該第一組電極中之每一電極進一步沿與一相關聯的電極相交的該第一複數個孔口中之每一孔口的側壁延伸。
  9. 如請求項1所述之電漿源,其進一步包含: 一介電材料層,其上覆於沿該第一複數個孔口中之每一孔口的側壁延伸的電極材料。
  10. 一種半導體處理腔室,其包含: 一基板支撐件,該基板支撐件經配置以支撐一基板以用於處理及至少部分地自下方界定一處理區域;及 一電漿源,其位於該半導體處理腔室內,該電漿源包含: 一第一板,其界定一第一孔口, 一第一電極,其在該第一板的一表面上延伸且與該第一孔口相交, 一第二板,其界定一第二孔口,該第二孔口與該第一孔口同軸, 一第二電極,其在該第二板的一表面上延伸且與該第二孔口相交,其中該第二電極垂直於該第一電極延伸,及 一第三板,其位於該第一板與該第二板之間,該第三板界定與該第一孔口及該第二孔口同軸的一第三孔口以形成穿過該電漿源的一通道。
  11. 如請求項10所述之半導體處理腔室,其中該第一電極圍繞該第一孔口的一側壁延伸,且其中該第二電極圍繞該第二孔口的一側壁延伸。
  12. 如請求項11所述之半導體處理腔室,其進一步包含: 一第一電源,其經配置以沿該第一電極輸送一第一電壓,及 一第二電源,其經配置以沿該第二電極輸送一第二電壓。
  13. 如請求項11所述之半導體處理腔室,其進一步包含: 一第一介電材料,其上覆於圍繞該第一孔口的該側壁延伸的該第一電極的一部分,及 一第二介電材料,其上覆於圍繞該第二孔口的該側壁延伸的該第二電極的一部分。
  14. 如請求項10所述之半導體處理腔室,其中該第一孔口具有一第一直徑,且其中該第三孔口具有與該第一直徑不同的一第二直徑。
  15. 如請求項10所述之半導體處理腔室,其中該電漿源至少部分地自上方界定該處理區域。
  16. 如請求項10所述之半導體處理腔室,其中該第一孔口為第一複數個孔口中之一孔口,其中該第一電極為第一複數個電極中之一電極,且其中該第一複數個孔口中之每一孔口與該第一複數個電極中之一電極相交。
  17. 一種半導體處理方法,該方法包含以下步驟: 向一半導體處理腔室輸送一前驅物,該半導體處理腔室具有一電漿源,包含: 一第一板,其界定一第一孔口, 一第一電極,其在該第一板的一表面上延伸,且與該第一孔口相交, 一第二板,其界定一第二孔口,該第二孔口與該第一孔口同軸, 一第二電極,其在該第二板的一表面上延伸,且與該第二孔口相交,其中該第二電極垂直於該第一電極延伸, 一第三板,其位於該第一板與該第二板之間,該第三板界定與該第一孔口及該第二孔口同軸的一第三孔口以形成穿過該電漿源的一通道, 一第一電源,其經配置以沿該第一電極輸送一第一電壓,及 一第二電源,其經配置以沿該第二電極輸送一第二電壓; 沿該第一電極輸送該第一電壓;及 穿過該電漿源在該通道內形成該前驅物的一電漿。
  18. 如請求項17所述之半導體處理方法,其進一步包含在輸送該前驅物之前的以下步驟: 沿該第一電極提供該第一電壓;及 沿該第二電極提供該第二電壓以穿過該電漿源在該通道處產生一記憶電荷。
  19. 如請求項17所述之半導體處理方法,其中該第一電極圍繞該第一孔口的一側壁延伸,其中該第二電極圍繞該第二孔口的一側壁延伸,且其中該電漿源進一步包含: 一第一介電材料,其上覆於圍繞該第一孔口的該側壁延伸的該第一電極的一部分,及 一第二介電材料,其上覆於圍繞該第二孔口的該側壁延伸的該第二電極的一部分。
  20. 如請求項17所述之半導體處理方法,其中穿過該電漿源形成的該通道內的一崩潰電壓為低於或大約500 V。
TW110126568A 2020-07-23 2021-07-20 半導體處理的電漿源 TWI831030B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/937,106 2020-07-23
US16/937,106 US20220028663A1 (en) 2020-07-23 2020-07-23 Plasma source for semiconductor processing

Publications (2)

Publication Number Publication Date
TW202220020A true TW202220020A (zh) 2022-05-16
TWI831030B TWI831030B (zh) 2024-02-01

Family

ID=79688591

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110126568A TWI831030B (zh) 2020-07-23 2021-07-20 半導體處理的電漿源

Country Status (7)

Country Link
US (2) US20220028663A1 (zh)
EP (1) EP4186088A1 (zh)
JP (1) JP2023535015A (zh)
KR (1) KR20230041790A (zh)
CN (1) CN115868001A (zh)
TW (1) TWI831030B (zh)
WO (1) WO2022020189A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115020179A (zh) * 2022-05-31 2022-09-06 北京北方华创微电子装备有限公司 半导体工艺设备

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4924218A (en) * 1985-10-15 1990-05-08 The Board Of Trustees Of The University Of Illinois Independent sustain and address plasma display panel
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6764658B2 (en) * 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
US7543546B2 (en) * 2003-05-27 2009-06-09 Matsushita Electric Works, Ltd. Plasma processing apparatus, method for producing reaction vessel for plasma generation, and plasma processing method
US20060054279A1 (en) * 2004-09-10 2006-03-16 Yunsang Kim Apparatus for the optimization of atmospheric plasma in a processing system
US20070037408A1 (en) * 2005-08-10 2007-02-15 Hitachi Metals, Ltd. Method and apparatus for plasma processing
US7642720B2 (en) * 2006-01-23 2010-01-05 The Board Of Trustees Of The University Of Illinois Addressable microplasma devices and arrays with buried electrodes in ceramic
US7649316B2 (en) * 2007-07-13 2010-01-19 Micron Technology, Inc. Assemblies for plasma-enhanced treatment of substrates
KR20120002795A (ko) * 2010-07-01 2012-01-09 주성엔지니어링(주) 피딩라인의 차폐수단을 가지는 전원공급수단 및 이를 포함한 기판처리장치
US20120258555A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation Multi-Frequency Hollow Cathode and Systems Implementing the Same
US20140217882A1 (en) * 2011-08-29 2014-08-07 Kyocera Corporation Plasma generator and plasma generating device
KR101500420B1 (ko) * 2012-07-13 2015-03-10 주식회사 에스피텍 전극상에 도전체 돌출부를 갖는 유전체장벽 방전 방식의 플라즈마 발생 전극 구조체
KR102061749B1 (ko) * 2012-12-27 2020-01-02 주식회사 무한 기판 처리 장치
US9528185B2 (en) * 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
JPWO2016152745A1 (ja) * 2015-03-20 2018-06-07 日本碍子株式会社 水処理装置、水処理方法、殺菌水生成装置及び殺菌水生成方法
CN107409464A (zh) * 2015-03-20 2017-11-28 日本碍子株式会社 等离子发生方法及杀菌水生成方法
EP3118884A1 (en) * 2015-07-15 2017-01-18 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Electrode assembly for a dielectric barrier discharge plasma source and method of manufacturing such an electrode assembly
KR101926984B1 (ko) * 2016-06-28 2018-12-10 (주)아이씨디 고밀도 박막증착을 위한 플라즈마 소스
KR102453450B1 (ko) * 2017-10-23 2022-10-13 삼성전자주식회사 플라즈마 처리 장치, 반도체 소자의 제조설비 및 그의 제조방법
KR102455239B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR102455231B1 (ko) * 2017-10-23 2022-10-18 삼성전자주식회사 픽셀화된 플라즈마를 생성하는 할로우 캐소드, 반도체 소자의 제조장치 및 그의 제조방법

Also Published As

Publication number Publication date
WO2022020189A1 (en) 2022-01-27
TWI831030B (zh) 2024-02-01
US20220028663A1 (en) 2022-01-27
CN115868001A (zh) 2023-03-28
US20230238221A1 (en) 2023-07-27
KR20230041790A (ko) 2023-03-24
JP2023535015A (ja) 2023-08-15
EP4186088A1 (en) 2023-05-31

Similar Documents

Publication Publication Date Title
TWI771346B (zh) 用於基板處理腔室的製程套件以及處理腔室
JP7021914B2 (ja) エッジ均一性制御のための調整可能な延長電極
US6916401B2 (en) Adjustable segmented electrode apparatus and method
KR102594473B1 (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US9793134B2 (en) Etching method
CN109563617B (zh) 低压升降杆腔硬件
US9355861B2 (en) Semiconductor device manufacturing method and computer-readable storage medium
CN107026081A (zh) 对被处理体进行处理的方法
US20070170155A1 (en) Method and apparatus for modifying an etch profile
US20230238221A1 (en) Plasma source for semiconductor processing
JP2023516739A (ja) 調節可能エッジシースシステム用のパルスrf信号における電圧設定点の調節
WO2021030184A1 (en) Moveable edge rings for substrate processing systems
TWI576910B (zh) 具有用以去耦合離子及自由基控制之源的半導體處理系統
KR101892958B1 (ko) 플라즈마 처리 장치
EP1986227A1 (en) Plasma processing apparatus and plasma processing method
KR102596797B1 (ko) 기판 처리 장치 및 기판 처리 방법
TWI835177B (zh) 用於基板處理腔室的製程套件以及處理腔室
US11749554B2 (en) Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same