TW202218165A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW202218165A
TW202218165A TW110129912A TW110129912A TW202218165A TW 202218165 A TW202218165 A TW 202218165A TW 110129912 A TW110129912 A TW 110129912A TW 110129912 A TW110129912 A TW 110129912A TW 202218165 A TW202218165 A TW 202218165A
Authority
TW
Taiwan
Prior art keywords
channel
layer
silicon
drain
source
Prior art date
Application number
TW110129912A
Other languages
English (en)
Inventor
賴韋仁
呂偉元
尤志豪
林家彬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202218165A publication Critical patent/TW202218165A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供半導體結構及其形成方法。根據本發明實施例的半導體結構包含第一通道構件和設置在第一通道構件上的第二通道構件,耦接至第一通道構件的第一通道延伸部件,耦接至第二通道構件的第二通道延伸部件,以及設置在第一通道延伸部件與第二通道延伸部件之間的內部間隙物部件。

Description

半導體結構
本發明實施例是關於一種半導體結構、半導體裝置及其形成方法,特別是有關於具有通道延伸部件的半導體裝置及其形成方法。
半導體積體電路(integrated circuit;IC)產業已歷經了指數式成長。積體電路材料及設計之技術的進步造成積體電路世代的產生,每一世代的電路比前一世代更小且更複雜。在積體電路的發展過程中,通常增加了功能密度(即每晶片面積中互連的裝置數量),而降低了幾何尺寸(亦即,使用製程所能創造的最小組件(或線路))。這種微縮化製程一般可藉由增加生產效率及降低相關成本以提供許多利益。這樣的微縮化也增加了積體電路之生產和製程的複雜度。
舉例而言,隨著積體電路(IC)技術朝向更小的技術節點發展,開始導入多閘極金屬氧化物半導體場效電晶體(多閘極MOSFET或多閘極裝置),藉由增加閘極-通道耦合、降低關閉狀態(off-state)的電流,以及降低短通道效應(short-channel effect;SCE)來提高閘極控制。通常將多閘極裝置視作具有閘極結構或其部分設置於通道區之多側上的裝置。鰭式場效電晶體(fin-like field effect transistor;FinFET)和多橋通道(multi-bridge-channel;MBC)電晶體為多閘極裝置的範例,它們已成為在高效能與低漏電的應用中常見且有潛力的候選。鰭式場效電晶體具有由閘極包覆多側的抬升通道(例如閘極包覆從基底延伸之半導體材料的「鰭片」的頂部和側壁)。MBC電晶體的閘極能部分或完全地圍繞通道區延伸,以從兩側或更多側提供到通道區的路徑。由於MBC電晶體的閘極結構環繞通道區,也可將其稱為環繞式閘極電晶體(surrounding gate transistor;SGT)或全繞式閘極(gate-all-around;GAA)電晶體。
在MBC電晶體中,由於各種閘極間隙物層的存在,所以可將源極/汲極部件與通道區隔開。通道部件落在通道區外的部分可能降低MBC電晶體的效能。儘管傳統的MBC電晶體對於它們原先預期的目標通常已足夠,但它們仍未在各方面都完全令人滿意。
在一示範態樣中,本發明實施例係關於半導體結構。半導體結構包含第一通道構件和設置在第一通道構件上的第二通道構件,耦接至第一通道構件的第一通道延伸部件,耦接至第二通道構件的第二通道延伸部件,以及設置在第一通道延伸部件與第二通道延伸部件之間的內部間隙物部件。
在另一示範態樣中,本發明實施例係關於半導體裝置。半導體裝置包含第一源極/汲極部件和第二源極/汲極部件,縱向地延伸於第一源極/汲極部件與第二源極/汲極部件之間的通道構件,夾設於通道構件與第一源極/汲極部件之間的第一通道延伸部件,夾設於通道構件與第二源極/汲極部件之間的第二通道延伸部件,設置在第一通道延伸部件上的頂部間隙物,以及設置在第一通道延伸部件下的內間隙物部件。
在又一示範態樣中,本發明實施例係關於方法。方法包含在基底上形成堆疊物,其中堆疊物包含由複數個矽鍺層交錯的複數個矽層,從堆疊物和基底形成鰭狀結構,鰭狀結構包含通道區和源極/汲極區,在鰭狀結構的通道區上形成虛設閘極堆疊物,在虛設閘極堆疊物上沉積頂部間隙物,將源極/汲極區凹陷以形成源極/汲極溝槽,源極/汲極溝槽暴露出複數個矽層和複數個矽鍺層的側壁,將複數個矽鍺層選擇性地且部分地凹陷以形成複數個內間隙物凹陷,在複數個內間隙物凹陷內形成複數個內間隙物部件,選擇性地修整複數個矽層以形成複數個末端表面,在前述之末端表面上選擇性地沉積半導體層,在源極/汲極溝槽內形成源極/汲極部件,源極/汲極部件接觸半導體層和複數個內間隙物部件,在形成源極/汲極部件之後,移除虛設閘極堆疊物,露出通道區中的複數個矽層作為複數個通道構件,以及形成閘極結構圍繞複數個通道構件中的每一者。
以下揭露提供了許多不同的實施例或範例,用於實施提供之主題的不同部件(feature)。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例的實施形態。舉例而言,以下敘述中提及第一部件形成於第二部件上或上方,可能包含第一與第二部件直接接觸的實施例,也可能包含額外的部件形成於第一與第二部件之間,使得第一與第二部件不直接接觸的實施例。此外,本發明實施例在各種範例中可能重複參考數字及/或字母,此重複是為了簡化和清楚的目的,並非在討論的各種實施例及/或組態之間指定其關係。
在此可使用空間相對用詞,例如「在……下方」、「在……下」、「下方的」、「在……上」、「上方的」及類似的用詞以助於描述圖中所示之其中一個元件或部件相對於另一(些)元件或部件之間的關係。這些空間相對用詞係用以涵蓋除圖式所描繪的方向以外,使用中或操作中之裝置的不同方向。設備可能被轉向(旋轉90度或其他方向),且可與其相應地解釋在此使用之空間相對描述。
再者,如本技術領域中具有通常知識者所理解的,考量到在製造期間固有出現的變化,當用「約」、「大約」及相似的用詞來描述一個數字或一個數字範圍時,所述用詞涵蓋在合理範圍內的數字。舉例而言,當製造具有關於數字之特徵的部件時,基於已知的關於前述製程的製造容許度,數字或數字範圍涵蓋之合理範圍包含所述的數字,例如在所述數字+/- 10%的範圍內。舉例而言,本技術領域中具有通常知識者已知關於沉積一個厚度為「約5 nm」的材料層的製程容許度為+/- 15%,則可涵蓋4.25 nm至5.75 nm的尺寸範圍。更進一步來說,本發明實施例在各種範例中可能重複參考數字及/或字母。此重複是為了簡化和清楚的目的,並非在討論的各種實施例及/或配置之間指定其關係。
本發明實施例大體上關於MBC電晶體及其製造方法,特別是關於作為輕摻雜汲極(lightly doped drain;LDD)部件的通道延伸部件。MBC電晶體的通道構件在兩個源極/汲極部件之間延伸且與這兩個源極/汲極部件耦接。由於形成頂部間隙物和內間隙物部件以助於使用功能性閘極結構取代虛設閘極堆疊物的緣故,源極/汲極部件與通道構件之間的接合處至少藉由內間隙物部件或頂部間隙物的厚度從通道區隔開。前述之接面處與通道區之間的距離可稱為接近度(proximity)。在一些傳統的技術中,接近度可實質上等於間隙物的厚度。由於通道構件在通道區與接合處之間的部分未經摻雜,MBC電晶體的寄生電阻因此而增加。
本發明實施例提供MBC電晶體裝置結構的實施例,這些實施例在形成內間隙物之後,選擇性地修整通道構件以降低通道區的接近度。在修整之通道構件的末端表面上選擇性地沉積摻雜的半導體層,以形成作為輕摻雜汲極部件的通道延伸部件。可在內間隙物部件與頂部間隙物之間,或在內間隙物部件之間垂直地設置通道延伸部件。在一實施例中,相較於源極/汲極部件,摻雜的半導體層較耐蝕刻。舉例而言,摻雜的半導體層可由摻硼的矽(Si:B)形成,而源極/汲極部件係由摻硼的矽鍺(SiGe:B)形成。在另一個範例中,摻雜的半導體層可由摻碳和磷的矽(Si:C:P)形成,而源極/汲極部件係由摻磷的矽(Si:P)或摻砷的矽(Si:As)形成。摻雜的半導體層的一部分也沉積在源極/汲極溝槽暴露出的基底上。
現在將參考圖式以進一步詳述本發明實施例的各種態樣。在這方面,第1圖是根據本發明實施例的實施形態,顯示從工件形成半導體裝置之方法100的流程圖。方法100僅為示例,並非用以將本發明實施例限定於方法100明確顯示出的內容。可在方法100的前、中、後提供額外的步驟,且方法之額外的實施例可取代、刪除或移動一些步驟。為了簡化,本文並未詳述所有的步驟。以下結合第2-10、11A-19A和11B-19B圖描述方法100,這些圖是根據第1圖之方法100的實施例顯示一個工件200在製程不同階段的部分剖面示意圖。由於工件200將被製造成半導體裝置,所以工件200在本文中可稱為半導體裝置200,視內容而定。為了避免混淆,第2-10、11A-19A和11B-19B圖中的X、Y和Z方向彼此垂直。另外,本發明實施例通篇使用相似的參考數字來表示相似的部件。
請參考第1和2圖,方法100包含方框102,在此步驟中,在工件200上形成交替之半導體層的堆疊物204。如第2圖所示,工件200包含基底202。一些實施例中,基底202可為半導體基底,例如矽(Si)基底。基底202可包含各種摻雜配置,如本技術領域中已知的,視設計需求而定。在半導體裝置為P型的實施例中,可在基底202上形成N型的摻雜輪廓(即N型井區或N井區)。在一些實施方式中,形成N型井區的N型摻質可包含磷(P)或砷(As)。在半導體裝置為N型的實施例中,可在基底202上形成P型的摻雜輪廓(即P型井區或P井區)。在一些實施方式中,形成P型井區的P型摻質可包含硼(B)或鎵(Ga)。合適的摻雜可包含摻質的離子植入及/或擴散製程。基底202也可包含其他半導體,例如鍺(Ge)、碳化矽(SiC)、矽鍺(SiGe)或鑽石。或者,半導體基底202可包含化合物半導體及/或合金半導體。再者,半導體202可選地包含磊晶層(epi-layer),可使其受到應變以提高效能,可包含絕緣體上覆矽(silicon-on-insulator;SOI)或絕緣體上覆鍺(germanium-on-insulator;GeOI)結構,及/或可具有其他合適的輔助部件。
一些實施例中,堆疊物204包含交錯的第一半導體組成之犧牲層206和第二半導體組成之通道層208。第一和第二半導體組成可不同。一些實施例中,犧牲層206包含矽鍺(SiGe),且通道層208包含矽(Si)。要注意的是,第2圖中顯示三(3)層犧牲層206和三(3)層通道層208交錯排列,這僅出於說明之目的,並非用以限定申請專利範圍中具體記載的內容。可理解的是,在堆疊物204中可形成任何數量的磊晶層。層的數量視半導體裝置200之通道構件的期望數量而定。一些實施例中,通道層208的數量在2與10之間。
一些實施例中,所有的犧牲層206可具有實質上均勻的第一厚度,且所有的通道層208可具有實質上均勻的第二厚度。第一厚度和第二厚度可相同或不同。如以下更詳細描述的,通道層208或其部分可作為隨後形成之多閘極裝置的通道構件,且基於裝置效能的考量來選擇每一個通道層208的厚度。最終可將通道區中的犧牲層206去除,這些犧牲層206係用以定義隨後形成之多閘極裝置的相鄰通道區之間的垂直距離(沿Z方向),且基於裝置效能的考量來選擇每一個犧牲層206的厚度。
可使用分子束磊晶(molecular beam epitaxy;MBE)製程、氣相沉積(vapor phase deposition;VPE)製程及/或其他合適的磊晶成長製程來沉積堆疊物204中的層。如上所述,至少在一些範例中,犧牲層206包含磊晶成長的矽鍺(SiGe)層,且通道層208包含磊晶成長的矽(Si)層。一些實施例中,犧牲層206和通道層208實質上無摻質(亦即,具有約0 cm -3至約1x10 17cm -3的外部摻質濃度),例如在堆疊物204的磊晶成長製程期間沒有實施刻意的摻雜。
仍請參考第1、2和3圖,方法100包含方框104,在此步驟中,從基底202和堆疊物204形成鰭狀結構212。為了將堆疊物204圖案化,可在堆疊物204上沉積硬遮罩層210(如第2圖所示)以形成蝕刻遮罩。硬遮罩層210可為單層或多層。舉例而言,硬遮罩層210可包含墊氧化層和在墊氧化層上的墊氮化層。可使用微影製程和蝕刻製程從堆疊物204和基底202圖案化鰭狀結構212。微影製程可包含光阻塗布(例如旋轉塗布)、軟烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、清洗、烘乾(例如旋轉烘乾及/或硬烤)、其他合適的微影技術及/或前述之組合。一些實施例中,蝕刻製程可包含乾式蝕刻(例如反應式離子蝕刻(reactive ion etching,RIE)蝕刻)、濕式蝕刻及/或其他蝕刻方法。如第3圖所示,蝕刻製程在方框104形成延伸穿過堆疊物204和基底202之一部分的溝槽。這些溝槽定義鰭狀結構212。在一些實施方式中,可使用包含雙重圖案化或多重圖案化的製程以定義具有間距例如小於使用單一、直接地光學微影製程可獲得之間距的鰭狀結構。舉例而言,在一實施例中,在基底上形成材料層,並使用光學微影製程將材料層圖案化。使用自對準製程在圖案化的材料層旁形成間隙物。然後移除材料層,接著使用剩餘的間隙物或心軸(mandrel)蝕刻堆疊物204以將鰭狀結構圖案化。如第3圖所示,鰭狀結構212與其中的犧牲層206和通道層208一起沿著Z方向垂直延伸,且沿著X方向縱向延伸。
形成相鄰於鰭狀結構212的隔離部件214。一些實施例中,可在溝槽內形成隔離部件214以將鰭狀結構212與鄰近的主動區隔離。隔離部件214也可稱為淺溝槽隔離(shallow trench isolation,STI)部件214。舉例來說,一些實施例中,先在基底202上沉積介電層,以介電層填充溝槽。一些實施例中,介電層可包含氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數(low-k)的介電質、前述之組合及/或其他合適的材料。在各種範例中,介電層的沉積可藉由化學氣相沉積(chemical vapor deposition,CVD)製程、次大氣壓CVD(subatmospheric CVD,SACVD)製程、流動式CVD製程、旋轉塗布製程及/或其他合適的製程。然後將沉積的介電材料薄化及平坦化,例如藉由化學機械研磨(chemical mechanical polishing,CMP)製程。接著藉由乾式蝕刻製程、濕式蝕刻製程及/或前述之組合進一步凹陷或拉回(pull-back)平坦化的介電層,以形成淺溝槽隔離部件214。在凹陷之後,鰭狀結構212抬升至淺溝槽隔離部件214上方。
請參考第1、4和5圖,方法100包含方框106,在此步驟中,在鰭狀結構212的通道區212C上形成虛設閘極堆疊物220。一些實施例中,採用閘極取代製程(或閘極後製(gate-last)製程),其中虛設閘極堆疊物220(如第4和5圖所示)係作為經歷各種製程的佔位件(placeholder),且藉由功能性閘極結構予以移除並取代。其他製程和配置也是可能的。在第5圖所示的一些實施例中,在鰭狀結構212上形成虛設閘極堆疊物220,且可將鰭狀結構212分為在虛設閘極堆疊物220下的通道區212C和不在虛設閘極結構220下的源極/汲極區212SD。通道區212C相鄰於源極/汲極區212SD。如第5圖所示,通道區212C係沿著X方向設置在兩個源極/汲極區212SD之間。
虛設閘極堆疊物220的形成可包含沉積虛設閘極堆疊物220中的層並將這些層圖案化。請參考第4圖,可在工件200上毯覆性地沉積虛設介電層216、虛設電極層218和閘極頂部硬遮罩層222。一些實施例中,可使用化學氣相沉積(CVD)製程、原子層沉積(atomic layer deposition;ALD)製程、氧電漿氧化製程或其他合適的製程在鰭狀結構212上形成虛設介電層216。一些實例中,虛設介電層216可為氧化矽。隨後,可使用CVD製程、ALD製程或其他合適的製程在虛設介電層216上沉積虛設電極層218。一些實例中,虛設電極層218可包含多晶矽。為了進行圖案化,可使用CVD製程、ALD製程或其他合適的製程在虛設電極層218上沉積閘極頂部硬遮罩層222。然後可將閘極頂部硬遮罩層222、虛設電極層218和虛設介電層216圖案化以形成虛設閘極堆疊物220,如第4和5圖所示。舉例而言,圖案化製程可包含微影製程(例如光學微影或電子束微影),微影製程可進一步包含光阻塗布(例如旋轉塗布)、軟烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、清洗、烘乾(例如旋轉烘乾及/或硬烤)、其他合適的微影技術及/或前述之組合。一些實施例中,蝕刻製程可包含乾式蝕刻(例如RIE蝕刻)、濕式蝕刻及/或其他蝕刻方法。一些實施例中,閘極頂部硬遮罩層222可包含氧化矽層223和氧化矽層223上的氮化矽層224。如第5圖所示,在鰭狀結構212的源極/汲極區212SD上並未設置虛設閘極堆疊物220。
請參考第1和6圖,方法100包含方框108,在此步驟中,在虛設閘極堆疊物220上沉積頂部間隙物層226。一些實施例中,頂部間隙物層226係共形地(conformally)沉積在工件200上,包含在虛設閘極堆疊物220的頂面和側壁上。為了便於描述在各區上具有實質上均勻之厚度的層,在此可使用「共形」這個術語。頂部間隙物層226可為單層或多層。頂部間隙物層226可包含碳氮化矽、碳氧化矽、碳氮氧化矽或氮化矽。在一些實施方式中,可使用像是CVD製程、次大氣壓CVD(SACVD)製程、ALD製程或其他合適之製程在虛設閘極堆疊物220上沉積頂部間隙物層226。
請參考第1和7圖,方法100包含方框110,在此步驟中將鰭狀結構212的源極/汲極區212SD凹陷以形成源極/汲極溝槽228。如第7圖所示,方框110之凹陷步驟可移除頂部間隙物層226朝上的部分,以形成沿著虛設閘極堆疊物220之側壁設置的頂部間隙物2260。一些實施例中,藉由乾式蝕刻或合適的蝕刻製程來蝕刻源極/汲極區212SD未被虛設閘極堆疊物220覆蓋的部分,以形成源極/汲極溝槽228。舉例而言,乾式蝕刻製程可實施含氧氣體、含氟氣體(例如CF 4、SF 6、CH 2F 2、CHF 3及/或C 2F 6)、含氯氣體(例如Cl 2、CHCl 3、CCl 4及/或BCl 3)、含溴氣體(例如HBr及/或CHBR 3)、含碘氣體、其他合適的氣體及/或電漿,及/或前述之組合。在第7圖所示的一些實施例中,將鰭狀結構212的源極/汲極區212SD凹陷以暴露出犧牲層206和通道層208的側壁。在一些實施方式中,源極/汲極溝槽228延伸至堆疊物204下並進入基底202。如第7圖所示,在方框110的步驟中,移除源極/汲極區212SD中的犧牲層206和通道層208,暴露出基底202以及犧牲層206和通道層208的側壁。
請參考第1、8、9和10圖,方法100包含方框112,在此步驟中形成內間隙物部件234。儘管未明確顯示,方框112的操作可包含選擇性地和部分地移除犧牲層206以形成內間隙物凹陷230(如第8圖所示),在工件200上沉積內間隙物材料232(如第9圖所示),以及回蝕刻(etch back)內間隙物材料232以在內間隙物凹陷230內形成內間隙物部件234(第10圖)。參考第8圖,在實質上不蝕刻頂部間隙物2260、基底202暴露的部分以及通道層208的情況下,將源極/汲極溝槽228內暴露出的犧牲層206選擇性地且部分地凹陷,以形成內間隙物凹陷230。在通道層208本質上由矽(Si)組成且犧牲層206本質上由矽鍺(SiGe)組成的實施例中,可使用選擇性濕式蝕刻製程或選擇性乾式蝕刻製程來實施犧牲層206的選擇性凹陷。犧牲層206的選擇性和部分地凹陷可包含SiGe氧化製程,以及接下來進行的SiGe氧化物移除。在那樣的實施例中,SiGe氧化製程可包含臭氧的使用。在一些其他的實施例中,選擇性乾式蝕刻製程可包含一或多個氟類(fluorine-based)之蝕刻劑的使用,例如氟氣或氫氟碳化物(hydrofluorocarbons)。選擇性濕式蝕刻製程可包含APM蝕刻(例如氫氧化銨-過氧化氫-水的混合物)。
請參考第9圖,在形成內間隙物凹陷230之後,在工件200上,包含在內間隙物凹陷230上,沉積內間隙物材料232。內間隙物材料232可包含金屬氧化物、氧化矽、碳氮氧化矽、氮化矽、氮氧化矽、富含碳的碳氮氧化矽或低介電常數的介電材料。金屬氧化物可包含氧化鋁、氧化鋯、氧化鉭、氧化釔、氧化鈦、氧化鑭或其他合適的金屬氧化物。儘管未明確顯示,內間隙物材料232可為單層或多層。在一些實施方式中,內間隙物材料232的沉積可使用CVD、PECVD、SACVD、ALD或其他合適的方法。內間隙物材料232係沉積在內間隙物凹陷230內以及源極/汲極溝槽228中暴露之通道層208的側壁上。請參考第10圖,然後將沉積的內間隙物材料232回蝕刻,從通道層208的側壁移除內間隙物材料232,以在內間隙物凹陷230內形成內間隙物部件234。在方框112的步驟中,也從閘極頂部硬遮罩層222和頂部間隙物2260之頂面及/或側壁移除內間隙物材料232。在一些實施方式中,方框112實施的回蝕刻操作可包含使用氟化氫(HF)、氟氣(F 2)、氫(H 2)、氨(NH 3)、三氟化氮(NF 3)或其他氟類的蝕刻劑。
如第10圖所示,每一個內間隙物部件234係直接接觸凹陷的犧牲層206且設置在兩個相鄰的通道層208之間。亦即,內間隙物部件234與通道層208交錯。一些實例中,每一個內間隙物部件234沿著X方向的厚度測量在約1 nm與約8 nm之間。在結束方框112的操作後,通道區212C內的通道層208包含沿著X方向的第一通道寬度C1,且通道構件 (也就是通道層208)之外側末端表面與相鄰犧牲層206之外側末端表面之間的距離定義出沿著X方向的第一LDD接近度L1。一些實例中,第一通道寬度C1在約25 nm與約50 nm之間,且第一LDD接近度L1可在約6 nm與約10 nm之間。
請參考第1、11A和11B圖,方法100包含方框114,在此步驟中選擇性地修整通道層208。第11A和11B圖顯示兩個實施例。在第11A圖所示的一些實施例中,沿著X方向修整通道層208以形成第一通道層208’, 第一通道層208’具有沿著Z方向未與頂部間隙物2260重疊的末端表面。換言之,將每一個通道層208的每一個末端修整約6 nm至約10 nm以達到第二通道寬度C2。消除第一LDD接近度L1,產生第二LDD接近度L2。一些實例中,第二通道寬度C2可在約10 nm與約38 nm之間,且第二LDD接近度L2可實質上為0。在第11A圖所示的一些實施例中,可使用第一LDD接近度L1與第二LDD接近度L2之間的差值(即(L1-L2))除以第一LDD接近度L1來計算接近度的推進百分比。在第11A圖所示的實施例中,接近度的推進比值為100%(即(L1-L2)/L1)。當接近度的推進比值為100%時,接近度降為0,且下方的MBC電晶體的寄生效應可降為0。
在第11B圖所示的一些實施例中,沿著X方向修整通道層208以形成第二通道層208’’, 第二通道層208’’具有沿著Z方向與頂部間隙物2260重疊的末端表面。換言之,將每一個通道層208的每一個末端修整約3 nm至約5 nm以達到第三通道寬度C3。將第一LDD接近度L1切至其原先之數值的一半,結果產生第三LDD接近度L3。一些實例中,第三通道寬度C3可在約16 nm與約44 nm之間,且第三LDD接近度L3可在約1 nm與約5 nm之間。在第11B圖所示的一些實施例中,可使用第一LDD接近度L1與第三LDD接近度L3之間的差值(即(L1-L3))除以第一LDD接近度L1來計算接近度的推進百分比。在第11B圖所示的實施例中,接近度的推進比值在約20%與約50%之間(即(L1-L3)/L1)。儘管20%-50%的推進比值並未完全消除下方之MBC電晶體的寄生電阻,但當選擇性地減少犧牲層206時,不完全的接近度推進提供了額外的製程容許度。在一些實施方式中,犧牲層206的選擇性移除仍可適度地蝕刻通道層208。不完全的接近度推進提供了緩衝以避免閘極-源極/汲極短路。
在一些實施方式中,使用對通道層208之半導體材料具有選擇性的等向性蝕刻來實施方框114之選擇性地修整。舉例而言,當通道層208係由矽形成時,方框114的選擇性蝕刻可包含使用四甲基氫氧化銨(tetramethyl ammonium hydroxide;TMAH)、氫氧化鉀(potassium hydroxide;KOH)、乙二胺鄰苯二酚(ethylene diamine pyrocatechol;EDP)或硝酸和氫氟酸的混合。方框114之步驟中使用的蝕刻劑可等向性地且選擇性地移除通道層208的一部分以對其進行修整。由於方框114之操作的目的係將源極/汲極通道構件之接合處推進至更靠近通道區,方框114的操作也可稱為接近度推進製程。
請參考第1、12A和12B圖,方法100包含方框116,在此步驟中形成通道延伸部件236E。在第12A和12B圖所示的一些實施例中,將半導體層126選擇性地沉積在通道層208(包含第12A圖顯示的第一通道層208’和第12B圖顯示的第二通道層208’’)的末端表面上,以形成通道延伸部件236E。可使用氣相磊晶(VPE)、超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)、分子束磊晶(MBE)及/或其他合適的製程來磊晶地沉積半導體層236。方框116的磊晶沉積製程可使用氣態前驅物,氣態前驅物將與第12A圖顯示之第一通道層208’和第12B圖顯示之第二通道層208’’ 的末端表面以及基底202的組成相互作用。在一些實施方式中,選擇方框116之磊晶沉積製程的參數使得半導體層236的沉積對半導體表面具有選擇性,前述之半導體表面包含第12A圖顯示之第一通道層208’和第12B圖顯示之第二通道層208’’ 的末端表面以及基底202的表面。如第12A和12B圖所示,根據沉積的位置,半導體層236包含接觸第一通道層208’和第二通道層208’’之末端表面的通道延伸部件236E,以及接觸源極/汲極溝槽228中暴露出的基底202的底部部分236B。
由於每一個通道延伸部件236E係作為通道露出(channel release)的蝕刻停止層和輕摻雜汲極(LDD)部件,因此半導體層236可由比源極/汲極部件較耐蝕刻的摻雜半導體材料形成。在期望形成P型MBC裝置的實施例中,半導體層236可包含摻雜像是硼(B)之P型雜質的矽(Si)。舉例而言,半導體層236可包含摻硼的矽(Si:B)。在期望形成N型MBC裝置的實施例中,半導體層236可包含摻雜像是碳(C)、磷(P)或前述兩者之N型雜質的矽(Si)。舉例而言,半導體層236可包含摻碳和磷的矽(Si:C:P)。要注意的是,半導體層236無鍺含量以具有較佳的耐蝕性。雖然半導體層236中的鍺含量有助於使通道構件應變以提高電洞遷移率,然而鍺的存在可能使通道延伸部件236E蝕刻較快。與鍺相反,硼(B)和碳(C)的摻雜可提高半導體層236的耐蝕性。另外,碳(C)的摻雜可避免或減緩磷(P)向外擴散進入通道區。通道延伸部件236E係作為輕摻雜汲極(LDD)部件且可稱為LDD部件。
當由摻硼的矽(Si:B)形成半導體層236以實施P型MBD電晶體時,半導體層236可包含在約3x10 20atoms/cm 3與約3x10 21atoms/cm 3之間的硼摻質濃度。當硼的濃度低於3x10 20atoms/cm 3時,通道延伸部件236E可能會增加電阻,這是不期望發生的。3x10 21atoms/cm 3為硼(B)在矽中實質上的溶解度極限。當由摻碳和磷的矽(Si:C:P)形成半導體層236以實施N型MBD電晶體時,半導體層236可包含在約2x10 20atoms/cm 3與約2x10 21atoms/cm 3之間的碳摻質濃度,以及在約1x10 20atoms/cm 3與約5x10 21atoms/cm 3之間的磷摻質濃度。由於磷摻質可能增加蝕刻速率的緣故,若碳摻質濃度低於2x10 20atoms/cm 3,結果產生的通道延伸部件236E可能不夠耐蝕刻。若磷摻質濃度低於1x10 19atoms/cm 3,結果產生的通道延伸部件236E可能不夠導電。通道延伸部件236E沿著X方向(即沿著閘極長度方向)可具有在約2 nm與約6 nm之間的厚度。
仍請參考第12A和12B圖。通道延伸部件236E可能夾設於兩個內間隙物部件234或夾設於內間隙物部件234與頂部間隙物2260之間。如第12A或12B圖所示,最頂部的通道延伸部件236E係沿著Z方向垂直地夾設於頂部間隙物2260與最頂部的內間隙物部件234之間。其他的通道延伸部件236E係沿著Z方向垂直地夾設於兩個內間隙物部件234之間。在所示的實施例中,通道延伸部件236E彼此分離且與底部部分236B隔開。
請參考第1、13A和13B圖,方法100包含方框118,在此步驟中沉積第一磊晶層238。在第13A和13B圖所示的一些實施方式中,在犧牲層206的側壁維持由內間隙物部件234所覆蓋的同時,可從底部部分236B和通道延伸部件236E暴露的側壁以磊晶方式和選擇性地形成第一磊晶層238。方框118之合適的磊晶製程包含氣相磊晶(VPE)、超高真空化學氣相沉積(UHV-CVD)、分子束磊晶(MBE)及/或其他合適的製程。方框118的磊晶成長製程可使用氣態前驅物,氣態前驅物將與半導體層236(包含底部部分236B和通道延伸部件236E)相互作用。一些實施例中,選擇方框118的磊晶成長製程參數,使得第一磊晶層238並未磊晶地沉積在內間隙物部件234上。根據本發明實施例,在結束方框118的操作後,至少一些內間隙物部件234維持露出的狀態。亦即,至少一些內間隙物部件234並未完全由第一磊晶層238所覆蓋。在第13A和13B圖所示的一些實施例中,第一磊晶層238包含底部部分238B和頂部部分238T。頂部部分238T接觸通道延伸部件236E但並未在內間隙物部件234上成長。第一磊晶層238的底部部分238B係設置在第一半導體層236的底部部分236B上。底部部分238B可與頂部部分238T合併在一起以延伸至內間隙物部件234上,例如第13A或13B圖所示之最底部的內間隙物部件234。在此,最底部的內間隙物部件234是指垂直設置在基底202與最底部之通道層208(包含第13A圖中的第一通道層208’和第13B圖中的第二通道層208’’)之間的內間隙物部件234。雖然未明確顯示,但第一磊晶層238的兩個或更多個頂部部分238T可合併在一起以覆蓋內間隙物部件234。
在形成P型MBC電晶體的一些實施例中,第一磊晶層238包含矽鍺(SiGe)並經P型摻質(例如硼(B)或鎵(Ga))的摻雜。一些實施例中,第一磊晶層238包含在約20%與30%之間的鍺含量,以及在約80%與約70%之間的矽含量。在一實施例中,第一磊晶層238包含約24%與約28%之間的鍺含量。這樣的鍺含量範圍並不是沒有價值的。當第一磊晶層中的鍺含量大於約30%時,矽和鍺之間的晶格不匹配可能在第一磊晶層238與通道延伸部件236E之間的界面產生太多缺陷,這可能導致電阻的增加或裝置的故障。當鍺含量小於約20%時,第一磊晶層238可能不足以使通道層208應變以提高電洞遷移率。當P型摻質為硼時,第一磊晶層238中的P型摻質濃度可在約2x10 20atoms/cm 3與約3x10 21atoms/cm 3之間。這樣的P型摻質濃度範圍也不是沒有價值的。當第一磊晶層238內的硼摻質濃度小於約2x10 20atoms/cm 3時,第一磊晶層238的電阻可能會無法產生令人滿意的驅動電流(即導通狀態電流(on-state current))。
在形成N型MBC電晶體的一些實施例中,第一磊晶層238包含矽(Si)並經N型摻質(例如磷(P)或砷(As))的摻雜。當N型摻質為磷(P)時,第一磊晶層238中的N型摻質濃度可在約1x10 19atoms/cm 3與約9x10 20atoms/cm 3之間。這樣的磷摻質濃度範圍並不是沒有價值的。當第一磊晶層238內的磷摻質濃度小於約9x10 20atoms/cm 3時,第一磊晶層238的電阻可能會無法產生令人滿意的驅動電流(即導通狀態電流)。當第一磊晶層238內的磷摻質濃度大於約9x10 20atoms/cm 3時,可能增加汲極導致能障降低(drain-induced barrier lowering,DIBL)或漏電流的可能性。當N型摻質為砷(As)時,第一磊晶層238中的N型摻質濃度可在約1x10 19atoms/cm 3與約2x10 21atoms/cm 3之間。這樣的砷摻質濃度範圍並不是沒有價值的。當第一磊晶層238內的砷摻質濃度小於約1x10 19atoms/cm 3時,第一磊晶層238的電阻可能會無法產生令人滿意的驅動電流(即導通狀態電流)。當第一磊晶層238內的磷摻質濃度大於約2x10 21atoms/cm 3時,可能增加汲極導致能障降低(DIBL)或漏電流的可能性。
請參考第1、14A和14B圖,方法100包含方框120,在此步驟中在第一磊晶層238上沉積第二磊晶層240。一些實施例中,可在第一磊晶層238上磊晶地且選擇性地沉積第二磊晶層240。方框120之合適的磊晶製程包含氣相磊晶(VPE)、超高真空化學氣相沉積(UHV-CVD)、分子束磊晶(MBE)及/或其他合適的製程。方框120的磊晶成長製程可使用氣態前驅物,氣態前驅物將與第一磊晶層238的組成相互作用。得以使第二磊晶層240在暴露出來的內間隙物部件234上過度成長並合併且實質上填充源極/汲極溝槽228。在第14A和14B圖所示的一些實施方式中,第二磊晶層240藉由第一磊晶層238與半導體層236分離或隔開。當最底部的內間隙物部件234由第一磊晶層238所覆蓋時,第二磊晶層240與最底部的內間隙物部件234隔開。第二磊晶層240接觸其他的內間隙物部件234。
在期望形成P型MBC電晶體的一些實施例中,第二磊晶層240包含矽鍺(SiGe)並經P型摻質(例如硼(B)或鎵(Ga))的摻雜。第二磊晶層240作為低電阻層且包含與第一磊晶層238相比較高的摻雜濃度。在這些實施例中,第二磊晶層240中的硼摻雜濃度可在約5x10 20atoms/cm 3與約2x10 21atoms/cm 3之間。當第二磊晶層240中的硼摻雜濃度小於7x10 20atoms/cm 3時,第二磊晶層240可能不夠導電,以致於無法達到令人滿意的驅動電流(即導通狀態電流)。再者,第二磊晶層240中的硼溶解度可避免硼的摻雜濃度超過1.3x10 21atoms/cm 3。與第一磊晶層238相比,第二磊晶層240包含較大的鍺含量以提高對第一通道層208’或第二通道層208’’的應變。在一些實施方式中,第二磊晶層240包含在約37%與約55%之間的鍺含量,以及在約45%與約63%之間的矽含量。要注意的是,第二磊晶層240中鍺含量的提高可促進矽化物的形成。
在期望形成N型MBC電晶體的一些實施例中,第二磊晶層240包含矽(Si)並經N型摻質(例如磷(P)或砷(As))的摻雜。由於第二磊晶層240作為低電阻層的緣故,第二磊晶層240包含的摻雜濃度大於第一磊晶層238的摻雜濃度。當N型摻質為磷時,第二磊晶層240中的N型摻雜濃度可在約1x10 21atoms/cm 3與約5x10 21atoms/cm 3之間。當第二磊晶層240內的磷摻雜濃度小於約1x10 21atoms/cm 3時,第二磊晶層240可能不夠導電,以致於無法達到令人滿意的驅動電流(即導通狀態電流)。再者,第二磊晶層240中的磷(P)溶解度可避免磷的摻雜濃度超過5x10 21atoms/cm 3
雖然未明確繪示於圖式中,方法100可選地包含在第二磊晶層240上形成第三磊晶層的步驟。第三磊晶層可作為避免對第二磊晶層240造成不期望之損害的蝕刻停止層。當期望形成P型MBC電晶體時,第三磊晶層可包含摻雜硼(B)之富含矽的矽鍺(SiGe)或矽(Si)。當期望形成N型MBC電晶體時,第三磊晶層可包含未經摻雜的矽(Si)。
如第14A和14B圖所示,第一磊晶層238、第二磊晶層240和第三磊晶層(若有形成的話)可一起稱為源極/汲極部件242。
請參考第1、15A和15B圖,方法100包含方框122,在退火製程300中對工件200進行退火。在一些實施方式中,退火製程300可包含快速熱退火(rapid thermal anneal,RTA)製程、雷射尖峰退火(laser spike anneal)製程、快閃熱退火(flash anneal)製程或加熱爐退火(furnace anneal)製程。退火製程300可包含在約900 ℃與約1000 ℃之間的尖峰退火溫度。在這些實施方式中,可維持持續幾秒或幾微秒的尖峰退火溫度。在整個退火製程300中,可獲得半導體主體(例如為矽(Si)或矽鍺(SiGe))內之摻質(例如P型摻質硼(B)或N型摻質磷(P))的期望電子貢獻。退火製程300可產生空位,空位能促進P型摻質從填隙位置移動至替代晶格位置,並減少半導體主體之晶格內的損害或缺陷。
請參考第1、16A-17A和16B-17B圖,方法100包含方框124,在此步驟中移除虛設閘極堆疊物220。方框124的操作可包含在工件200上沉積接觸蝕刻停止層(contact etch stop layer;CESL)244(如第16A和16B圖所示),在接觸蝕刻停止層244上沉積層間介電(interlayer dielectric,ILD)層246(如第16A和16B圖所示),以及移除虛設閘極堆疊物220(如第17A和17B圖所示)。現在請參考第16A和16B圖,在沉積層間介電層246之前先沉積接觸蝕刻停止層244。一些範例中,接觸蝕刻停止層244包含氮化矽、氮氧化矽及/或其他本技術領域中已知的材料。接觸蝕刻停止層244的形成可藉由ALD、電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition;PECVD)製程及/或其他合適的沉積製程。然後在接觸蝕刻停止層244上沉積層間介電層246。一些實施例中,層間介電層246包含的材料例如為四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未經摻雜的矽酸鹽玻璃或經摻雜的氧化矽,像是硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)、熔融矽石玻璃(fused silica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、摻硼矽玻璃(boron doped silicon glass;BSG)及/或其他合適的介電材料。層間介電層246的沉積可藉由PECVD製程或其他合適的沉積技術。一些實施例中,在形成層間介電層246之後,可對工件200進行退火以提高層間介電層246的完整性。如第16A和16B圖所示,可將接觸蝕刻停止層246直接設置在第二磊晶層240的頂面或第三磊晶層(若有形成的話)的頂面上。
仍請參考第16A和16B圖,在沉積接觸蝕刻停止層244和層間介電層246之後,可藉由平坦化製程將工件200平坦化以暴露出虛設閘極堆疊物220。舉例而言,平坦化製程可包含化學機械平坦化(chemical mechanical planarization,CMP)製程。虛設閘極堆疊物220的暴露可允許虛設閘極堆疊物220的移除,如第17A和17B圖所示。一些實施例中,虛設閘極堆疊物220的移除結果在通道區212C上形成閘極溝槽248。虛設閘極堆疊物220的移除可包含對虛設閘極堆疊物220之材料具有選擇性的一或多個蝕刻製程。舉例而言,虛設閘極堆疊物220之移除可使用對虛設閘極堆疊物220具有選擇性的選擇性濕式蝕刻、選擇性乾性蝕刻或前述組合來實施。在移除虛設閘極堆疊物220之後,通道區212C中的通道層208和犧牲層206的側壁暴露於閘極溝槽248中。
請參考第1、18A和18B圖,方法100包含方框126,在此步驟中將通道層208 (包含第18A圖顯示的第一通道層208’和第18B圖顯示的第二通道層208’’)露出(release)以作為通道構件2080。請參考第18A和18B圖,在移除虛設閘極堆疊物220以形成閘極溝槽248之後,方法100選擇性地移除通道區212C中在通道層208之間的犧牲層206。犧牲層206的選擇性移除將第18A圖中的第一通道層208’ 露出以形成第一通道構件2080,以及將第18B圖中的第二通道層208’’ 露出以形成第二通道構件2082。犧牲層206的選擇性移除也在第一通道構件2080之間或在第二通道構件2082之間留下空間250。可藉由選擇性乾式蝕刻、選擇性濕式蝕刻或其他選擇性蝕刻製程來實施犧牲層206的選擇性移除。選擇性乾式蝕刻製程的範例可包含使用一或多個氟類的蝕刻劑,例如氟氣或氫氟碳化合物。選擇性濕式蝕刻製程的範例可包含APM蝕刻(例如氫氧化銨-過氧化氫-水的混合物)。
請參考第1、19A和19B圖,方法100包含方框128,在此步驟中形成閘極結構252。方法100可包含進一步的製程以形成閘極結構252,閘極結構252環繞第19A圖的每一個第一通道構件2080或第19B圖的每一個第二通道構件2082。一些實施例中,閘極結構252係形成在閘極溝槽248內且進入將犧牲層206移除而留下的空間250內。閘極結構252包含閘極介電層254和在閘極介電層254上的閘極電極層256。一些實施例中,儘管未明確顯示於圖中,閘極介電層254包含設置在第一通道構件2080或第二通道構件2082上的界面層,以及在界面層上的高介電常數閘極介電層。在此使用和描述之高介電常數(high-K)介電材料包含具有高介電常數的介電材料,例如高於熱氧化矽的介電常數(~3.9)。界面層可包含像是氧化矽、鉿矽酸鹽或氮氧化矽的介電材料。界面層的形成可使用化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)及/或其他合適的方法。高介電常數閘極介電層可包含氧化鉿。或者,高介電常數閘極介電層可包含其他高介電常數的介電材料,例如氧化鈦(TiO 2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta 2O 5)、氧化鉿矽(HfSiO 4)、氧化鋯(ZrO 2)、氧化鋯矽(ZrSiO 2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋯(ZrO)、氧化釔(Y 2O 3)、SrTiO 3(STO)、BaTiO 3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO 3(BST)、氮化矽(SiN)、氮氧化矽(SiON)、前述之組合或其他合適的材料。可藉由ALD、物理氣相沉積(physical vapor deposition,PVD)、CVD、氧化及/或其他合適之方法來形成高介電常數閘極介電層。
閘極結構252的閘極電極層256可包含單層或多層結構,例如具有選定功函數以增加裝置效能之金屬層(功函數金屬層)、襯層、潤濕層、黏著層、金屬合金或金屬矽化物的各種組合。舉例來說,閘極電極層256可包含氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鋁鉭(TaAlC)、碳氮化鉭(TaCN)、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化矽鉭(TaSiN)、銅(Cu)、其他耐火金屬或其他合適的金屬材料,或者前述之組合。在各種實施例中,閘極電極層256的形成可藉由ALD、PVD、CVD、電子束蒸鍍或其他合適的製程。在各種實施例中,可實施CMP製程,移除過量的材料以提供閘極結構252實質上平坦的頂面。
請參考第19A和19B圖。在結束方框128的操作之後,實質上形成MBC電晶體260。如上所述,MBC電晶體260可為N型或P型。在第19A圖所示的一些實施例中,MBC電晶體260包含沿著垂直於基底202之Z方向上垂直堆疊物的第一通道構件2080。在第19B圖所示的一些實施例中,MBC電晶體260包含沿著垂直於基底202之Z方向上垂直堆疊物的第二通道構件2082。每一個第一通道構件2080或第二通道構件2082皆由閘極結構252所環繞。第一通道構件2080和第二通道構件2082在兩個源極/汲極部件242之間沿著X方向延伸。每一個源極/汲極部件242包含第一磊晶層238、第二磊晶層240和第三磊晶層(若有形成的話)。第19A圖中的第一通道構件2080和第19B圖中的第二通道構件2082藉由通道延伸部件236E與源極/汲極部件242接合,且通道延伸部件236E係作為LDD部件。第一通道構件2080與第二通道構件2082沿著X方向的長度不同。在第19A圖所示的一些實施例中,MBC電晶體260包含第二LDD接近度L2,其中第一通道構件2080與通道延伸部件236E之間的接合處重疊閘極結構252之垂直投影。亦即,第二LDD接近度L2可實質上為0。在第19B圖所示的一些實施例中,MBC電晶體260包含第三LDD接近度L3,其中第二通道構件2082與通道延伸部件236E之間的接合處掉出閘極結構252之垂直投影外。亦即,第二通道構件2082與通道延伸部件236E之間的接合處落在頂部閘極間隙物2260之垂直投影內。一些實例中,第三LDD接近度L3可在約1 nm與約5 nm之間。
儘管並非用以限定本發明實施例,本發明實施例的一或多個實施形態提供半導體裝置及其形成方法的許多益處。舉例而言,本發明實施例的實施形態提供包含在通道構件與源極/汲極部件之間延伸之通道延伸部件的MBC電晶體。通道延伸部件作為輕摻雜汲極(LDD)部件,並起到降低寄生電阻的作用。
在一示範態樣中,本發明實施例係關於半導體結構。半導體結構包含第一通道構件和設置在第一通道構件上的第二通道構件,耦接至第一通道構件的第一通道延伸部件,耦接至第二通道構件的第二通道延伸部件,以及設置在第一通道延伸部件與第二通道延伸部件之間的內部間隙物部件。
一些實施例中,第一通道構件和第二通道構件包含矽鍺,且第一通道延伸部件和第二通道延伸部件包含矽和P型摻質。一些實施方式中,第一通道構件和第二通道構件包含矽,且第一通道延伸部件和第二通道延伸部件包含矽和N型摻質。一些實例中,半導體結構更包含源極/汲極部件,接觸第一通道延伸部件、內間隙物部件和第二通道延伸部件。一些實施例中,第一通道構件和第二通道構件沿著一方向縱向地延伸。第一通道延伸部件沿著前述之方向夾設於第一通道構件與源極/汲極部件之間。第二通道延伸部件沿著前述之方向夾設於第二通道構件與源極/汲極部件之間。一些實施方式中,半導體結構更包含環繞第一通道構件和第二通道構件的閘極結構,以及沿著閘極結構之側壁延伸的頂部間隙物。第二通道延伸部件夾設於內間隙物部件與頂部間隙物之間。一些實施例中,閘極結構與第一通道延伸部件和第二通道延伸部件隔開。一些實施例中,第二通道構件的一部分夾設於內間隙物部件與頂部間隙物之間。
在另一示範態樣中,本發明實施例係關於半導體裝置。半導體裝置包含第一源極/汲極部件和第二源極/汲極部件,縱向地延伸於第一源極/汲極部件與第二源極/汲極部件之間的通道構件,夾設於通道構件與第一源極/汲極部件之間的第一通道延伸部件,夾設於通道構件與第二源極/汲極部件之間的第二通道延伸部件,設置在第一通道延伸部件上的頂部間隙物,以及設置在第一通道延伸部件下的內間隙物部件。
一些實施例中,通道構件包含矽鍺,且第一通道延伸部件和第二通道延伸部件包含矽和P型摻質。一些實施方式中,通道構件的一部分在頂部間隙物與內間隙物部件之間延伸。一些實例中,第一源極/汲極部件係設置在基底上,第一源極/汲極部件包含底部磊晶層、底部磊晶層上的第一磊晶層,以及第一磊晶層上的第二磊晶層,且底部磊晶層的組成與第一通道延伸部件和第二通道延伸部件的組成相同。一些實施例中,底部磊晶層包含第一鍺含量,第一磊晶層包含第二鍺含量,第二磊晶層包含第三鍺含量。第三鍺含量大於第二鍺含量,且第二鍺含量大於第一鍺含量。一些實施例中,第一鍺含量實質上為0。一些實施方式中,底部磊晶層包含第一P型摻質濃度,第一磊晶層包含第二P型摻質濃度,第二磊晶層包含第三P型摻質濃度,第一P型摻質濃度大於第二P型摻質濃度,且第三P型摻質濃度大於第一P型摻質濃度。
在又一示範態樣中,本發明實施例係關於方法。方法包含在基底上形成堆疊物,其中堆疊物包含由複數個矽鍺層交錯的複數個矽層,從堆疊物和基底形成鰭狀結構,鰭狀結構包含通道區和源極/汲極區,在鰭狀結構的通道區上形成虛設閘極堆疊物,在虛設閘極堆疊物上沉積頂部間隙物,將源極/汲極區凹陷以形成源極/汲極溝槽,源極/汲極溝槽暴露出複數個矽層和複數個矽鍺層的側壁,將複數個矽鍺層選擇性地且部分地凹陷以形成複數個內間隙物凹陷,在複數個內間隙物凹陷內形成複數個內間隙物部件,選擇性地修整複數個矽層以形成複數個末端表面,在前述之末端表面上選擇性地沉積半導體層,在源極/汲極溝槽內形成源極/汲極部件,源極/汲極部件接觸半導體層和複數個內間隙物部件,在形成源極/汲極部件之後,移除虛設閘極堆疊物,露出通道區中的複數個矽層作為複數個通道構件,以及形成閘極結構圍繞複數個通道構件中的每一者。
一些實施例中,半導體層包含矽和P型摻質。一些實施例中,選擇性地沉積半導體層係將半導體層沉積在源極/汲極溝槽的複數個末端表面和底面上,而非在複數個內間隙物部件上。一些實施方式中,在選擇性地沉積半導體層之後,半導體層的一部分係設置在頂部間隙物與複數個內間隙物部件中的一者之間。一些實施例中,在選擇性地沉積半導體層之後,半導體層的一部分係設置在複數個內間隙物部件中的兩者之間。
前述內文概述了許多實施例的部件,以使本技術領域中具有通常知識者可以更佳地了解本發明實施例的各種態樣。本技術領域中具有通常知識者應可理解他們可使用本發明實施例為基礎來設計或修改其他製程及結構,以達到相同的目的及/或達到與在此介紹的實施例相同之優點。本技術領域中具有通常知識者也應了解這些相等的架構並未背離本發明實施例的精神與範圍。而在不背離本發明實施例的精神與範圍之前提下,他們可對本文進行各種改變、替換或變更。
100:方法 102:方框 104:方框 106:方框 108:方框 110:方框 112:方框 114:方框 116:方框 118:方框 120:方框 122:方框 124:方框 126:方框 128:方框 200:工件 202:基底 204:堆疊物 206:犧牲層 208:通道層 208’:第一通道層 208’’:第二通道層 210:硬遮罩層 212:鰭狀結構 212C:通道區 212SD:源極/汲極區 214:隔離部件 216:虛設介電層 218:虛設電極層 220:虛設閘極堆疊物 222:閘極頂部硬遮罩層 223:氧化矽層 224:氮化矽層 226:頂部間隙物層 228:源極/汲極溝槽 230:內間隙物凹陷 232:內間隙物材料 234:內間隙物部件 236:半導體層 236B:底部部分 236E:通道延伸部件 238:第一磊晶層 238B:底部部分 238T:頂部部分 240:第二磊晶層 242:源極/汲極部件 244:接觸蝕刻停止層 246:層間介電層 248:閘極溝槽 250:空間 252:閘極結構 254:閘極介電層 256:閘極電極層 260:MBC電晶體 300:退火製程 2080:通道構件 2082:通道構件 2260:頂部間隙物 C1:第一通道寬度 C2:第二通道寬度 C3:第三通道寬度 L1:第一LDD接近度 L2:第二LDD接近度 L3:第三LDD接近度
從以下的詳述配合所附圖式可更加理解本發明實施例。要強調的是,根據工業上的標準做法,各個部件並未按照比例繪製,且僅用於說明之目的。事實上,為了能清楚地討論,可任意地放大或縮小各個部件的尺寸。 第1圖是根據本發明實施例的一或多個態樣,顯示半導體裝置之形成方法的流程圖。 第2-10、11A-19A和11B-19B圖是根據本發明實施例的一或多個態樣,顯示在依照第1圖之方法的製程期間工件的部分剖面示意圖。
200:工件
202:基底
212C:通道區
212SD:源極/汲極區
234:內間隙物部件
236:半導體層
236B:底部部分
236E:通道延伸部件
238:第一磊晶層
238B:底部部分
238T:頂部部分
240:第二磊晶層
242:源極/汲極部件
244:接觸蝕刻停止層
246:層間介電層
252:閘極結構
254:閘極介電層
256:閘極電極層
260:MBC電晶體
2080:通道構件
2260:頂部間隙物
C2:第二通道寬度
L2:第二LDD接近度

Claims (1)

  1. 一種半導體結構,包括: 一第一通道構件和一第二通道構件,該第二通道構件設置在該第一通道構件上; 一第一通道延伸部件,耦接至該第一通道構件; 一第二通道延伸部件,耦接至該第二通道構件;以及 一內間隙物部件,設置在該第一通道延伸部件與該第二通道延伸部件之間。
TW110129912A 2020-10-27 2021-08-13 半導體結構 TW202218165A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/081,399 2020-10-27
US17/081,399 US11489078B2 (en) 2020-10-27 2020-10-27 Lightly-doped channel extensions

Publications (1)

Publication Number Publication Date
TW202218165A true TW202218165A (zh) 2022-05-01

Family

ID=80440900

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110129912A TW202218165A (zh) 2020-10-27 2021-08-13 半導體結構

Country Status (3)

Country Link
US (2) US11489078B2 (zh)
CN (1) CN114122135A (zh)
TW (1) TW202218165A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11489078B2 (en) * 2020-10-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lightly-doped channel extensions

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US10134840B2 (en) * 2015-06-15 2018-11-20 International Business Machines Corporation Series resistance reduction in vertically stacked silicon nanowire transistors
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
JP6951903B2 (ja) * 2016-08-10 2021-10-20 東京エレクトロン株式会社 半導体素子のための拡張領域
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
KR102385567B1 (ko) * 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10439049B2 (en) * 2017-12-19 2019-10-08 International Business Machines Corporation Nanosheet device with close source drain proximity
US11031502B2 (en) * 2019-01-08 2021-06-08 Samsung Electronics Co., Ltd. Semiconductor devices
US10832907B2 (en) * 2019-02-15 2020-11-10 International Business Machines Corporation Gate-all-around field-effect transistor devices having source/drain extension contacts to channel layers for reduced parasitic resistance
US10892368B2 (en) * 2019-05-08 2021-01-12 International Business Machines Corporation Nanosheet transistor having abrupt junctions between the channel nanosheets and the source/drain extension regions
US11489078B2 (en) * 2020-10-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lightly-doped channel extensions

Also Published As

Publication number Publication date
CN114122135A (zh) 2022-03-01
US20220359769A1 (en) 2022-11-10
US20220131014A1 (en) 2022-04-28
US11489078B2 (en) 2022-11-01

Similar Documents

Publication Publication Date Title
US11948987B2 (en) Self-aligned backside source contact structure
US11855224B2 (en) Leakage prevention structure and method
TWI792456B (zh) 半導體裝置及其形成方法
US20220352350A1 (en) Inner Spacer Liner
US20220393001A1 (en) Pmosfet source drain
US11854819B2 (en) Germanium hump reduction
US20240006513A1 (en) Multi-Gate Transistor Structure
US20230215929A1 (en) Metal gate cap
US20220359769A1 (en) Lightly-doped channel extensions
US11791402B2 (en) Semiconductor device having strained channels
US11855081B2 (en) Method of forming epitaxial features
US20230207653A1 (en) Low resistance contact feature
US20230054243A1 (en) Multi-gate transistors and methods of forming the same
US20230114789A1 (en) Source/drain features of multi-gate devices