TW202213564A - 具有耐高真空和溫度的功率源的診斷盤 - Google Patents

具有耐高真空和溫度的功率源的診斷盤 Download PDF

Info

Publication number
TW202213564A
TW202213564A TW110119638A TW110119638A TW202213564A TW 202213564 A TW202213564 A TW 202213564A TW 110119638 A TW110119638 A TW 110119638A TW 110119638 A TW110119638 A TW 110119638A TW 202213564 A TW202213564 A TW 202213564A
Authority
TW
Taiwan
Prior art keywords
diagnostic
disk
shaped body
disc
sensor data
Prior art date
Application number
TW110119638A
Other languages
English (en)
Inventor
菲利浦A 克里米奈爾
智強 郭
安德魯 麥里斯
馬丁 帕茲古茲曼
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202213564A publication Critical patent/TW202213564A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/183Components mounted in and supported by recessed areas of the printed circuit board
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0205Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system
    • G05B13/024Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric not using a model or a simulator of the controlled system in which a parameter or coefficient is automatically adjusted to optimise the performance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/09Shape and layout
    • H05K2201/09009Substrate related
    • H05K2201/09027Non-rectangular flat PCB, e.g. circular
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10007Types of components
    • H05K2201/10037Printed or non-printed battery
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10007Types of components
    • H05K2201/10098Components for radio transmission, e.g. radio frequency identification [RFID] tag, printed or non-printed antennas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10007Types of components
    • H05K2201/10151Sensor
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10007Types of components
    • H05K2201/10159Memory
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10227Other objects, e.g. metallic pieces
    • H05K2201/10371Shields or metal cases

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Robotics (AREA)
  • Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Arrangements For Transmission Of Measured Signals (AREA)

Abstract

一種診斷盤,包括一盤形主體,該盤形主體具有升高壁及至少一個突出部,該等升高壁環繞該盤形主體的一內部,該至少一個突出部從該盤形主體向外延伸。該盤形主體的該等升高壁界定該盤形主體的一空腔。非接觸感測器附接至該至少一個突出部之每一者。將印刷電路板(PCB)放置於該盤形主體上形成的空腔內。耐真空及高溫的功率源以及無線充電器及電路系統設置於該PCB上,該電路系統耦合至每一非接觸感測器且包括至少一無線通訊電路及一記憶體。將蓋放置於盤形主體的空腔上方且將該PCB、電路系統、功率源、及無線充電器在該空腔內的至少部分與外部環境屏蔽。

Description

具有耐高真空和溫度的功率源的診斷盤
本揭示案的一些實施例一般相關於具有至少一個嵌入式非接觸感測器的診斷盤,允許在真空處理腔室內部進行原位測量且可與遠端主機無線通訊。本揭示案的一些實施例一般相關於耐真空和高溫且可用於本文所述診斷盤中的功率源。
通常在真空處理系統中處理半導體基板。該等系統包括一個或更多個處理腔室,每一者執行基板處理操作,例如蝕刻、化學氣相沉積、或物理氣相沉積,可包括加熱或冷卻基板和電漿以輔助處理。該等處理條件(例如熱循環和侵蝕性電漿)可能蝕刻或腐蝕處理腔室內的腔室部件的暴露部分。蝕刻或腐蝕的腔室部件在該等部件導致不一致或不期望的處理結果之前在多個處理循環(例如,數小時的處理,稱為射頻(RF)小時)之後且在從部件腐蝕的顆粒污染腔室中的處理而導致基板上的顆粒缺陷之前得到定期維護(例如,清潔或更換)。傳統上,為了決定是否開始維護某些腔室部件,處理腔室被排氣並開啟以提供對各種腔室部件的存取。該排氣和拆卸不僅是勞動密集的,且在該程序期間損失了基板處理設備數小時的生產力。此外,處理腔室內部的暴露可能造成內部污染,因此在處理腔室開啟之後進行針對處理腔室的冗長的重新鑑定處理。
本文所述的一些實施例係一種診斷盤,包括:一盤形主體、至少一個突出部,該至少一個突出部從該盤形主體向外延伸;一非接觸感測器,該非接觸感測器附接至該至少一個突出部之每一者;及一蓋。在某些實施例中,該盤形主體包括升高壁,該等升高壁環繞該盤形主體的一內部,其中該盤形主體的該等升高壁界定該盤形主體的一空腔。在某些實施例中,診斷盤進一步包括一印刷電路板(PCB),將該PCB放置於該盤形主體上的該空腔內;電路系統,該電路系統設置於該PCB上且耦合至每一非接觸感測器;一功率源,該功率源設置於該PCB上;及一無線充電器,該無線充電器設置於該PCB上。在某些實施例中,設置於該PCB上的該電路系統包括至少一無線通訊電路及一記憶體。在某些實施例中,該診斷盤的蓋放置於該盤形主體的該空腔上方,其中該蓋將該PCB、該電路系統、該功率源、及該無線充電器在該空腔內的至少部分與一外部環境屏蔽。
本文所述的一些實施例係一種診斷盤的操作方法。在某些實施例中,該方法包括以下步驟:在將一診斷盤放置進入一處理腔室之前或之後,藉由該診斷盤使用該診斷盤的一無線通訊電路來建立與一計算系統的一安全無線連接。在某些實施例中,該方法進一步包括以下步驟:藉由該診斷盤的至少一個非接觸感測器來產生設置於該處理腔室內的一部件的感測器資料。在某些實施例中,該方法進一步包括以下步驟:將該感測器資料儲存於該診斷盤的一記憶體中。在某些實施例中,該方法進一步包括以下步驟:使用該無線通訊電路將該感測器資料無線傳送至該計算系統。在某些實施例中,該方法進一步包括以下步驟:終止與該計算系統的該安全無線連接。在某些實施例中,該方法進一步包括以下步驟:從該診斷盤的該記憶體清除該感測器資料。
本文所述的一些實施例係一種計算系統的操作方法,該計算系統與一診斷盤無線通訊。在某些實施例中,該方法包括以下步驟:藉由計算系統來建立與診斷盤的一無線連接。在某些實施例中,該方法進一步包括以下步驟:使一傳送腔室內的一機械臂將該診斷盤放置進入一處理腔室。在某些實施例中,該方法進一步包括以下步驟:使用該診斷盤的一個或更多個非接觸感測器使該診斷盤產生該處理腔室的一部件的感測器資料。在某些實施例中,該方法進一步包括以下步驟:藉由該計算系統來從該診斷盤經由該無線連接接收該感測器資料。在某些實施例中,該方法進一步包括以下步驟:藉由該計算系統來分析該感測器資料以決定該部件的對準、同心度、清潔度、或腐蝕度之其中至少一者。在某些實施例中,該方法進一步包括以下至少一者:回應於決定該對準或同心度偏斜,啟動該部件的該對準或同心度的自動校正;回應於決定該清潔度達到一污染臨界值,啟動該部件的自動清潔;或回應於決定該腐蝕度達到一壽命終止臨界值,啟動該部件的自動更換。
本文所述的一些實施例係一種功率單元,包括:一印刷電路板(PCB),一功率源,該功率源耦合至該PCB,及一外殼,該外殼封裝至少該功率源。在某些實施例中,該PCB包括設置於該PCB上的功率管理電路系統。在某些實施例中,該功率源具有高達約6 mm的一高度。在某些實施例中,該功率單元經配置以操作在約0.1 mTorr至約50 mTorr的一真空及約攝氏-20度至約攝氏120度的溫度下而不會破裂或爆炸。
本文所述的一些實施例係一種診斷盤,包括:一盤形主體;一印刷電路板(PCB);一功率源,該功率源耦合至該PCB,一外殼,該外殼封裝至少該功率源;及一蓋,該蓋放置於該PCB及該功率源上方。在某些實施例中,該功率源具有高達約6 mm的一高度。在某些實施例中,該功率源可操作在約0.1 mTorr至約50 mTorr的一真空及約攝氏-20度至約攝氏120度的溫度下而不會破裂或爆炸。在某些實施例中,該蓋將由該盤形主體及該蓋形成的內部內的該PCB及該功率源與該盤形主體外部的一環境屏蔽。
本文所述的一些實施例係一種診斷盤的操作方法。在某些實施例中,該方法包括以下步驟:在將一診斷盤放置進入一處理腔室之前或之後,藉由該診斷盤使用該診斷盤的一無線通訊電路來建立與一計算系統的一安全無線連接。在某些實施例中,該方法進一步包括以下步驟:在約0.1 mTorr至約50 mTorr的一真空及約攝氏-20度至約攝氏120度的一溫度下,藉由該診斷盤的至少一個非接觸感測器來產生設置於該處理腔室內的一部件的感測器資料。在某些實施例中,該方法進一步包括以下步驟:使用該無線通訊電路將該感測器資料無線傳送至該計算系統。在某些實施例中,該診斷盤包括:一盤形主體;一印刷電路板(PCB);一功率源,該功率源耦合至該PCB;一外殼,該外殼封裝至少該功率源;及一蓋,該蓋放置於該PCB及該功率源上方。在某些實施例中,該功率源具有高達約6 mm的一高度。在某些實施例中,該功率源可操作在約0.1 mTorr至約50 mTorr的一真空及約攝氏-20度至約攝氏120度的溫度下而不會破裂或爆炸。在某些實施例中,該蓋將由該盤形主體及該蓋形成的內部內的該PCB及該功率源與該盤形主體外部的一環境屏蔽。
本揭示案的實施例提供了用於對處理腔室內的部件進行原位診斷掃描的診斷盤和方法,而無需將處理腔室排氣或開啟處理腔室的蓋。該等實施例受益於原位診斷方法,以決定對在處理腔室內被掃描的部件實作何種維護(如果有的話)。該等原位診斷提供了改進的處理結果,而不會中斷基板處理系統或處理腔室的處理及/或拆卸。該處理節省了寶貴的工時並避免了基板處理系統的停機時間。此外,實施例防止處理腔室的內部暴露於大氣或外部環境,這減輕了處理腔室的污染。
原位診斷掃描可經由使用診斷盤上的非接觸感測器(例如,相機、位置感測器等)產生關於處理腔室內的各種部件的感測器資料。可使用診斷盤將感測器資料無線傳送到計算系統,該計算系統可分析感測器資料並決定後續動作。例如,產生的感測器資料可提供關於部件的資訊,例如:放置(對準及/或同心度及/或間隙測量)、清潔度、腐蝕度、計量資料、部件是否損壞、部件是否卡住等。基於該感測器資料,計算系統可啟動部件的自動放置校正、部件的自動清潔、部件的自動更換等。
術語「原位」在此意思是「就地」,即處理腔室保持完整且處理腔室不需要拆卸或暴露於大氣以便執行所揭露的診斷掃描。可在基板處理之間執行原位診斷掃描。
進行原位診斷掃描和在一些情況下原位實作後續維護的能力改進了處理晶圓的良率和客戶製造設施(fab)中的工具時間利用率。此外,原位診斷能夠追蹤處理腔室內的各種部件的條件,且能夠基於經驗資料而非基於猜測而在適當的時間啟動針對部件的適當維護。
在某些實施例中,本文所述的診斷盤能夠與指定的計算系統通訊。在實施例中,診斷盤使用診斷盤內的無線通訊電路建立與指定的計算系統的安全無線連接,使用診斷盤上的非接觸感測器產生設置在處理腔室內的部件的感測器資料,可選地將感測器資料儲存於診斷盤的記憶體中,使用無線通訊電路將感測器資料無線傳送到指定的計算系統,終止與指定的計算系統的安全無線連接,及從診斷盤的記憶體清除感測器資料。在某些實施例中,診斷盤包括安全特徵,根據該特徵,在與指定的計算系統的安全無線連接已建立之後且在終止所述安全無線連接之後,可藉由從指定的計算系統接收軟體來操作診斷盤,診斷盤可擦除自身(亦即,感測器資料及從指定的計算系統接收到的軟體(若適用)),使得無法提取由診斷盤產生的感測器資料。若診斷盤從指定的計算系統接收到軟體,該軟體可回應來自其指定的計算系統的命令。
本文所述的診斷盤可包括盤形主體,該盤狀主體包括環繞盤形主體內部的升高壁,其中盤形主體的升高壁界定盤形主體的空腔。至少一個突出部可從盤形主體大致水平延伸。至少一個非接觸感測器可附接到至少一個突出部之每一者。診斷盤可進一步包括內建照明。盤形主體的空腔可包括具有設置於其上的至少功率源、無線充電器、和電路系統的印刷電路板(PCB)。
盤形主體中的功率源可耐受真空及/或高溫,例如在暴露於真空及/或高溫之後不會變形、破裂或爆炸。功率源本身或與PCB一起可進一步被封裝在氣密密封的外殼中以最小化放氣及/或不污染處理腔室。若功率源在真空及/或高溫下故障和破裂,功率源的結構材料可能會保留包含在外殼中而非污染處理腔室或診斷盤。功率源也可不含重金屬(例如鋰),這樣即使功率源在真空及/或高溫下故障和破裂,污染診斷盤及/或處理腔室,可很容易地從處理腔室或從診斷盤清潔功率源的結構材料。
可將功率源放置在由盤形主體和本文描述的診斷盤的蓋形成的空腔中,以便將功率源與診斷盤可在其中操作的高真空、高溫、侵蝕環境分開。將功率源保持在診斷盤的內部空腔中並進一步封裝在外殼(例如,半剛性絕緣體)內可有益地控制功率源結構中的電解質或其他材料向周圍環境的流出或洩漏(經由污染接近功率源的裝置來最小化潛在應力)。
在某些實施例中,功率源可包括並聯、串聯或上述之組合佈置的複數個電容器。因此,功率源可為可擴展的且可經設計以達成目標屬性,例如輸出電壓、能量密度、運行時間、充電時間與運行時間比、內部串聯電阻率、尺寸(例如,寬度、長度、和高度)、重量、操作參數(例如,溫度和壓力)、電容、充電電流、放電電流、放電電壓、洩漏電流、最小儲存能量等。在某些實施例中,功率源可無線充電或經由電線充電。在某些實施例中,功率源可具有約1:2至約3:2的充電時間與運行時間比,以便足夠快地充電,同時也能夠在其操作期間為本文所述的任何診斷盤提供足夠的電力約15分鐘至約60分鐘的持續時間。充電時間與運行時間比不應被解釋為限制性的。在某些實施例中,充電時間與運行時間比的範圍可為從約1:100、約1:75、約1:50、約1:25、約1:10、約1:10、約1:5,或約1:1之任一者至約5:1、約10:1、約15:1、約25:1、約50:1、約75:1或約100:1之任一者,或任何子範圍或其中的單一值。
在實施例中,功率源是無毒的,可在飛機上旅行,耐用以便提供高壽命循環,及/或可移除以方便維護及/或更換。
本文描述的診斷盤的尺寸和質量可類似於在晶圓處理系統中經受處理的晶圓的尺寸和質量,以允許診斷盤以晶圓被傳送經過晶圓處理系統的相同方式傳送經過晶圓處理系統。診斷盤的結構材料和設置在其上的塗層可耐受處理腔室的真空及/或高溫及/或侵蝕環境。
可將本文所述的診斷盤上的至少一個突出部和至少一個非接觸感測器放置於允許使用現有機械手(例如,現有的工廠介面機械手和現有的傳送腔室機械手)將診斷盤拾取及經由晶圓處理系統傳送的位置中。針對突出部和至少一個非接觸感測器的放置的另一考量可為提供從至少一個非接觸感測器到被掃描的部件的至少一部分的清晰視線。
圖1A圖示了根據本揭示案的實施例的範例處理系統100的簡化頂部視圖。處理系統100包括工廠介面91,複數個基板盒102(例如,前開口統一容器(FOUP)和側儲存容器(SSP))可耦合到工廠介面91以用於傳送基板(例如,晶圓,例如矽晶圓)進入處理系統100。在實施例中,除了晶圓之外,基板盒102包括診斷盤110。診斷盤110可用於產生關於一個或更多個處理腔室107內的各種部件的資料(例如但不限於對準、同心度、腐蝕度、清潔度、計量資料、部件是否損壞或卡住等)。在一個實施例中,診斷盤110可用於產生與處理套件環(未展示)的放置或維護相關的資料。工廠介面91也可使用將解釋的用於傳送晶圓的相同功能來將診斷盤110傳送進入和離開處理系統100。類似地,工廠介面91可使用用於傳送晶圓的相同功能來將某些更換部件(例如,處理套件環)傳送進入和離開處理系統100。
處理系統100也可包括第一真空端口103a、103b,可將工廠介面91耦合到個別的站104a、104b,該等站可為例如脫氣腔室及/或裝載鎖。第二真空端口105a、105b可耦合到個別的站104a、104b且設置在站104a、104b和傳送腔室106之間以促進基板傳送進入傳送腔室106。傳送腔室106包括繞著傳送腔室106設置且耦合至傳送腔室106的多個處理腔室107(也稱為處理腔室)。處理腔室107經由個別的端口108(例如狹縫閥等)耦合到傳送腔室106。
處理腔室107可包括以下一者或更多者:蝕刻腔室、沉積腔室(包括原子層沉積、化學氣相沉積、物理氣相沉積、或其電漿增強版本)、退火腔室等。處理腔室107可包括偶爾經受更換、對準及/或同心度校正、維護等的部件。目前,處理腔室內的某些動作(例如,更換某些部件、校正某些部件的對準及/或同心度、評估腐蝕度、或評估清潔度和啟動處理腔室的清潔)可包括藉由操作員來拆卸處理腔室以促進所述動作。處理系統100經配置以便於進行一些該等動作,而操作者無需拆卸處理腔室107。
在各種實施例中,工廠介面91包括工廠介面機械手111。工廠介面機械手111可包括機械臂,且可為或包括選擇性順從組件機械臂(SCARA)機械手,例如2鏈結SCARA機械手、3鏈結SCARA機械手、4鏈結SCARA機械手等。工廠介面機械手111可包括在機械臂的末端上的末端執行器。末端執行器可經配置以拾取和處理特定物體,例如晶圓。替代地,末端執行器可經配置以處理物體,例如診斷盤。在某些實施例中,末端執行器可經配置以處理需要維護或放置校正的腔室部件,例如處理套件環。工廠介面機械手111可經配置以在基板盒102(例如,FOUP及/或SSP)和站104a、104b之間傳送物體。
傳送腔室106包括傳送腔室機械手112。傳送腔室機械手112可包括機械臂,在機械臂的末端處具有末端執行器。末端執行器可經配置以處理特定物體,例如晶圓和診斷盤。傳送腔室機械手112可為SCARA機械手,但在一些實施例中可具有比工廠介面機械手111更少的鏈結及/或更少的自由度。
控制器109(在此也稱為「計算系統」或「指定計算系統」)可控制處理系統100的各個態樣且可包括或耦合到無線存取點(WAP)裝置129。WAP裝置129可包括無線技術和一個或更多個用於與診斷盤110通訊的天線。控制器109可為及/或包括計算系統,例如個人電腦、伺服器電腦、可編程邏輯控制器(PLC)、微控制器等。控制器109可包括一個或更多個處理裝置,例如微處理器、中央處理單元等。更特定地,處理裝置可為複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器、或實作其他指令集的處理器或實作指令集的組合的處理器。處理裝置也可為一個或更多個專用處理裝置,例如特定應用積體電路(ASIC)、現場可編程閘陣列(FPGA)、數位信號處理器(DSP)、網路處理器等。
儘管未圖示,控制器109可包括資料儲存裝置(例如,一個或更多個磁碟驅動器及/或固態驅動器)、主要記憶體、靜態記憶體、網路介面及/或其他部件。控制器109可執行指令以執行本文描述的任何一個或更多個方法及/或實施例,包括影像或感測器資料處理和分析、影像處理演算法、產生一個或更多個經訓練的機器學習模型的機器學習(ML)演算法、深度ML演算法、和用於分析感測器資料的其他成像演算法以偵測例如處理腔室107內的部件的對準、同心度、腐蝕度、清潔度、處理腔室內的部件是否被卡住或損壞等。指令可儲存於電腦可讀取儲存媒體上,可包括主要記憶體、靜態記憶體、次要儲存及/或處理裝置(在指令執行期間)。在一些實施例中,可藉由使用掃描裝置或其他類型的感測器或相機將已進行一些類型的維護(位置校正、更換、清潔等)的部件成像來獲得用於訓練ML模型的訓練資料。
圖1B圖示了根據本揭示案的實施例的圖1A的處理腔室107的示意性橫截面側視圖。處理腔室107包括一起界定內部容積130的腔室主體101和設置在其上的蓋133。腔室主體101典型地耦合到電接地137。基板支撐組件180設置在內部容積內以在處理期間支撐其上的基板。處理腔室107也包括用於在處理腔室107內產生電漿132的電感耦合電漿設備142,及適用於控制處理腔室107的範例的控制器155。
基板支撐組件180包括經由匹配網路127耦合到偏置源119的一個或更多個電極153,以促進在處理期間基板的偏置。偏置源119可說明性地為在例如約13.56 MHz的頻率下高達約1000 W (但不限於約1000 W)的RF能量的源,但可根據特定應用的需要提供其他頻率和功率。偏置源119能夠產生連續或脈衝功率之其中任一者或兩者。在一些範例中,偏置源119可為DC或脈衝DC源。在一些範例中,偏置源119能夠提供多個頻率。一個或更多個電極153可耦合到吸附功率源160以在處理期間促進基板的吸附。
電感耦合電漿設備142設置在蓋133上方且經配置以將RF功率電感耦合進入處理腔室107以在處理腔室107內產生電漿。電感耦合電漿設備142包括設置在蓋133上方的第一線圈116和第二線圈118。每一線圈116、118的相對位置、直徑比及/或每一線圈116、118中的匝數均可根據需要進行調整,以控制形成的電漿的輪廓或密度。第一線圈116和第二線圈118之每一者經由RF饋送結構136藉由匹配網路114耦合到RF電源138。RF電源138可說明性地能夠在從50 kHz至13.56 MHz的範圍中的可調諧頻率下產生高達約4000 W(但不限於約4000 W),但可根據特定應用的需要使用其他頻率和功率。
在一些範例中,可在RF饋送結構136和RF電源138之間提供功率分割器135(例如分割電容器)以控制提供給個別的第一和第二線圈的RF功率的相對量。在一些範例中,功率分割器135可併入匹配網路114。
加熱器元件113可設置在蓋133的頂部上以促進加熱處理腔室107的內部。加熱器元件113可設置在蓋133與第一和第二線圈116、118之間。在一些範例中,加熱器元件113可包括電阻加熱元件且可耦合到電源115,例如AC電源,經配置以提供足夠的能量以將加熱器元件113的溫度控制在期望範圍內。
在操作期間,將基板(例如,半導體晶圓或其他適用於電漿處理的基板)放置在基板支撐組件180上且從氣體面板120經由進入端口121供應處理氣體進入腔室主體101的內部容積。藉由將來自RF功率源138的功率施加到第一和第二線圈116、118來將處理氣體點燃成為處理腔室107中的電漿132。在一些範例中,來自偏置源119的功率(例如RF或DC源)也可經由匹配網路127提供給基板支撐組件180內的電極153。可使用閥129和真空泵122來控制處理腔室107內部內的壓力。可使用運行穿過腔室主體101的含液體導管(未展示)來控制腔室主體101的溫度。
處理腔室107包括控制器155以在處理期間控制處理腔室107的操作。控制器155包括中央處理單元(CPU)123、記憶體124、和用於CPU 123的支援電路125且促進控制處理腔室107的部件。控制器155可為任何形式的可用於工業環境的通用電腦處理器之其中一者,以用於控制各種腔室和子處理器。記憶體124儲存可被執行或調用而以本文描述的方式控制處理腔室107的操作的軟體(源代碼或物件代碼)。
下面更詳細描述的診斷盤可產生處理腔室107內的各種部件的感測器資料,例如但不限於基板支撐組件180、靜電吸盤150、環(例如處理套件環或單環)、腔室壁、基底、氣體分配板、噴頭、氣體管線、氣體進入端口121、噴嘴、腔室蓋133、襯墊、襯墊套件、屏蔽、電漿屏、電漿產生單元142、射頻饋送結構136、電極153、擴散器、流量等化器、冷卻基底、腔室觀察端口等。用於該等部件的一些示例性感測器資料可包括但不限於對準、同心度、腐蝕度、清潔度、計量資料、部件是否損壞或卡住、部件的維護是否到期等。
圖2A圖示了根據本揭示案的實施例的開啟的診斷盤110的透視視圖。診斷盤110可包括盤形主體210,具有環繞盤形主體210內部的升高壁202和從盤形主體210向外延伸的至少一個突出部。在實施例中,升高壁202可延伸到至少一個突出部。每一突出部從盤形主體210水平或大致水平地延伸且被放置以垂直或大致垂直於盤形主體210的圓周。
在某些實施例中,有四個突出部,例如,第一突出部204A、第二突出部204B、第三突出部204C和第四突出部204D。在所描繪的實施例中,四個突出部在允許傳送機械手112的機械臂的末端執行器拾取診斷盤並將診斷盤放置在處理腔室107中的位置中間隔開來。也可以一方式放置四個突出部,在當診斷盤由末端執行器夾持時或當診斷盤放置在晶圓升降銷的頂部上時,該方式在附接到每一突出部的非接觸感測器和被診斷的部件之間保持清晰的視線(如圖2E至2F中和圖7B中所描繪)。
在各種實施例中,診斷盤110包括更多或更少數量的突出部。在替代實施例中,診斷盤110沒有突出部且成形為類似於晶圓的實心盤。在某些實施例中,一個或更多個突出部從盤形主體210的周邊向外突出(例如,如圖2B中相關於第二突出部204B、第三突出部204C和第四突出部204D描繪的底部視圖中所展示)。在某些實施例中,一個或更多個突出部不從盤形主體210的周邊向外突出且與盤形主體210的周邊齊平(例如,如圖2B中相關於第一突出部204A描繪的底部視圖中所展示)。
在某些實施例中,盤形主體210的升高壁202界定盤形主體的空腔208。在實施例中,診斷盤110進一步包括設置在由升高壁202形成的空腔208內的印刷電路板(PCB)203。多個部件(例如電路系統205、功率源207、和無線充電器209(例如QI充電器))可設置在PCB 203上及/或空腔208內。電路系統205可包括多個部件,例如無線通訊電路和記憶體。電路系統205可耦合到附接到盤形主體210的至少一個突出部之每一者的至少一個非接觸感測器230(如圖2B中所展示)。在某些實施例中,該等部件之其中一者或更多者可能不位於空腔208內部,但可在診斷盤外部,且可經由電線耦合到診斷盤。
功率源207可包括電池(例如鋰離子聚合物電池)或替代功率源(例如超級電容器型功率源,下面相關於圖3A至3B進一步詳細描述)。
在某些實施例中,診斷盤110可進一步包括置於盤形主體210的空腔208上方的蓋。蓋220可將至少部分的PCB 203和空腔208內部的某些部件與外部環境屏蔽。在某些實施例中,蓋220可將空腔208內的至少部分的PCB 203、電路系統205、功率源207和無線充電器209與外部環境屏蔽。蓋可設計有各種口袋部以在PCB 203上的部件之間產生間隙。例如,蓋可包括用於無線充電器209的放置、用於電路系統205的放置、用於功率源207的放置、及可選的用於未來電路系統改變的額外空腔的切口。在某些實施例中,蓋220可用於將空腔208內的PCB 203、電路系統205、功率源207和無線充電器209真空密封以與外部環境隔絕。
圖2B圖示了根據本揭示案的實施例的診斷盤的底部視圖。在各種實施例中,非接觸感測器附接到至少一個突出部之每一者。例如,診斷盤110可進一步包括多個非接觸感測器,例如分別附接到四個突出部204A、204B、204C和204D的第一非接觸感測器230A、第二非接觸感測器230B、第三非接觸感測器230C和第​​四非接觸感測器230D。在某些實施例中,每一非接觸感測器可附接到其相應突出部的下側,如圖2B中所描繪。
非接觸感測器可經由任何合適的附接機構來附接到至少一個突出部之每一者,例如但不限於,經由環氧樹脂、經由螺旋線圈等。在某些實施例中,非接觸感測器經由能夠容易維護(例如,在非接觸感測器發生故障之後容易移除和更換)的附接機構來附接到至少一個突出部之每一者。在某些實施例中,非接觸感測器及/或與其耦合的附接機構可具有使其能夠被升高壁202的一部分支撐的寬度。例如,圖2A1圖示了突出部204D的放大視圖。在圖2A1中,從空腔208的圓周向突出部204D延伸的升高壁202的部分支撐非接觸感測器230D及/或經由支撐突片206A和206B耦合到非接觸感測器230D的附接機構。
在沒有突出部的實施例中,每一非接觸感測器可附接到診斷盤110的周邊的下側。在某些實施例中,每一非接觸感測器可附接到診斷盤的其他側,例如但不限於每一突出部的上側(例如,蓋220上)、診斷盤110的邊緣(例如,蓋220上或盤形主體210的周邊上)等。
每一非接觸感測器可在允許非接觸感測器產生部件的感測器資料的方向上定向。例如,每一非接觸感測器可在邊緣環、處理環、靜電吸盤等上方定向以產生用於邊緣環或處理環的對準或同心度的感測器資料(例如,基於它們之間的間隙測量或靜電吸盤和處理環之間的間隙)或用於邊緣環或處理套件環的腐蝕度或清潔度的感測器資料。在另一範例中,每一非接觸感測器可在允許非接觸感測器產生處理腔室蓋或噴頭的感測器資料的方向上定向。在某些實施例中,非接觸感測器可被定向以形成朝向某些腔室部件的清晰視線。在替代實施例中,整個診斷盤可被定向以形成朝向某些腔室部件的清晰視線。例如,可將診斷盤倒置在晶圓升降銷上,以便附接到每一突出部下側的非接觸感測器具有處理腔室頂部側的清晰視線(例如,以進行噴頭或處理腔室蓋的診斷掃描)。
每一非接觸感測器可耦合到電路系統205,例如,經由PCB 203上的連接。每一非接觸感測器可經配置以獲取任何給定處理腔室107中使用的部件的感測器資料(例如,定位、紋理及/或粗糙度資訊指示對準、同心度、清潔度、腐蝕等)。無線通訊電路可包括或耦合到天線以便將感測器資料無線傳送到控制器109。在某些實施例中,將感測器資料暫時儲存在診斷盤的記憶體中,使用無線通訊電路無線傳送到控制器109,且一旦診斷盤與控制器的安全無線連接終止,從診斷盤的記憶體清除感測器資料。
在變化的實施例中,非接觸感測器是影像感測器,例如至少四倍放大率(例如,4X、6X、8X或更多)的相機變焦。例如,非接觸感測器可為或包括電荷耦合裝置(CCD)相機及/或互補金屬氧化物(CMOS)相機或高解析度相機。替代地,相機可具有其他變焦能力。在某些實施例中,非接觸感測器可為位置感測器。替代地,非接觸感測器可為可掃描部件表面的微型雷達感測器。此外,非接觸感測器可包括x射線發射器(例如,x射線雷射)和x射線偵測器。非接觸感測器可替代地為或包括一對或更多對產生雷射束的雷射發射器和接收雷射束的雷射接收器。當雷射束從部件的表面反射離開時,可藉由一對雷射發射器和雷射接收器產生感測器測量。在某些實施例中,非接觸感測器可進一步包括整合在其上的照明能力或耦合到照明工具。在各種實施例中,該等感測器測量可被電路系統205及/或控制器109轉換成為感測器資料。
在一個實施例中,非接觸感測器是具有約25 mm至約45 mm、約30 mm至約40 mm、約33 mm至約37 mm、或約35 mm的聚焦範圍的相機。相機可具有至少3兆像素、至少4兆像素、或至少5兆像素的解析度,以使相機能夠聚焦於某個部件並得到其清晰的邊緣。相機可具有約25 mm至約45 mm的視野(FOV),範圍從約33,000μm×約24,000μm至約60,000μm×約45,000μm。在一些實施例中,合適的相機可具有可藉由自動對焦演算法操作的自動對焦。在某些實施例中,合適的相機不具有自動對焦特徵以延長功率源的壽命(例如,從功率源獲得的運行時間)。在一些實施例中,相機可具有下表1中描述的特徵的任何組合。 表1-根據一實施例的用於診斷盤的示例性相機的規格
可用的控制 目前的值 範圍
亮度 0 (50%) -64 - 64
對比度 32 (50%) 0 - 64
飽和度 64 (50%) 0 - 128
色調 0 (50%) -40 - 40
白平衡溫度,自動 是 | 否
Gamma 100 (6%) 72 - 500
增益 0 (0%) 0 - 100
功率線頻率 50 Hz 關閉 | 50 Hz | 60 Hz
白平衡溫度 4600 (48%) 2800 - 6500
銳度 3 0 - 6
背光補償 1 0 - 2
曝光,自動 光圈先決模式 手動模式 | 光圈先決模式
曝光(絕對) 157 (3%) 1 - 5000
曝光,自動先決 是 | 否
對焦(絕對) 224 (21%) 1 - 1023
對焦,自動 是 | 否
在變化的實施例中,診斷盤110可進一步包括附接到至少一個突出部之每一者的至少一個照明部件。例如,在圖2B中所描繪的實施例中,照明部件232B附接到突出部204B,照明部件232C附接到突出部204C,且照明部件232D附接到突出部204D。雖然圖2B中描繪的實施例中的非接觸感測器230A不附有照明部件,在某些實施例中,非接觸感測器230A也附有照明部件。設置在PCB 203上的電路系統205可耦合至少一個照明部件之每一者(例如,232A、232B、232C)。每一照明部件可經配置以照明在任何給定處理腔室107中使用的部件的至少一部分,使得每一非接觸感測器可獲取被照明的部件的部分的感測器資料。示例性照明部件可包括但不限於發光二極體(LED)。
圖2C圖示了根據本揭示案的一些態樣沿著線「2A」的圖2A的診斷盤110的側橫截面視圖。圖2D圖示了根據本揭示案的實施例的屏蔽的診斷盤的透視頂部視圖。
另外參考圖2A,可藉由盤形主體210的外周邊上的兩個點來界定診斷盤110的直徑(DIA),該兩個點彼此間隔開180度。界定直徑DIA的點之其中一者可為至少一個突出部的邊緣。例如,盤形主體210(和診斷盤110)的直徑DIA可從第一突出部204A的邊緣到盤形主體210的外周邊上的點212(可為凹口)。以類似的方式,診斷盤的直徑可為從第二突出部204B、第三突出部204C、或第四突出部204D之其中一者的邊緣到位於從突出部的其中一者的對應邊緣180度的盤形主體210的周邊上的對應點。在一些實施例中,直徑範圍可從約310 mm至約320 mm內、或在約310 mm至約320 mm的10至15%內。直徑不應被解釋為限制性的。在一些實施例中,直徑範圍可從約310 mm、約315 mm、約320 mm、約325 mm、約330 mm、約335 mm、約340 mm、約345 mm或約350 mm之任一者到約355 mm、約360 mm、約365 mm、約370 mm、約375 mm、約380 mm、約385 mm、約390 mm、約395 mm或約400 mm之任一者,或其中的任何子範圍或單一值。
此外,在某些實施例中,可放置每一非接觸感測器使得在非接觸感測器和盤形主體210的底部之間形成間隙。例如,可將每一非接觸感測器放置於個別突出部的下側上,使得非接觸感測器和盤形主體210的底部之間的垂直距離使非接觸感測器從放置診斷盤於上的表面移位。可藉由升高壁202的高度(H)來界定診斷盤110的高度,可高達約15 mm、高達約14 mm、高達約13 mm、高達約12 mm、高達約11 mm、高達約10 mm、高達約9 mm、高達約8 mm、高達約7 mm、或高達約6 mm。
在變化的實施例中,包括升高壁202的盤形主體210和蓋220可由聚醚醚酮(PEEK)、鋁合金、碳纖維或鋁之其中至少一者製成。在某些實施例中,盤形主體及/或蓋被塗覆。在實施例中,塗層經配置以使診斷盤110具有以下至少一者:耐真空、耐高溫、耐劃傷、或上述之組合。在一實施例中,塗層是反射的及/或透明的,以便抵消診斷盤110可能暴露於的任何紅外線輻射。例如,在一個實施例中,塗層是對紅外線透明的,以允許塗層的表面光潔度反射可能暴露於的紅外線輻射。反射掉紅外線輻射而不吸收它(或最小化其吸收)可最小化傳送到診斷盤的熱。在一些實施例中,塗層被高度拋光。在某些實施例中,塗層具有範圍從約2μin至約20μin、從約4μin至約16μin、從約6μin至約12μin、或其中的任何子範圍或單一值的表面粗糙度光潔度。
在某些實施例中,塗層包括可在至少攝氏50度的溫度下操作同時保持其完整性而不剝落的材料,以避免在處理腔室中導入顆粒污染物。塗層可為耐侵蝕及/或耐腐蝕的。可用於塗覆盤形主體210和蓋220的示例性塗層材料包括但不限於以下至少一者:陽極氧化鋁、鋁合金、或氧化釔。
在一些實施例中,診斷盤110進一步包括設置在盤形主體210的底部表面上的複數個運動耦合介面235。複數個運動耦合介面235可經配置以與處理腔室中的基板支撐組件(例如圖1B中的處理腔室107中的基板支撐組件180)的配準特徵接合。複數個運動耦合介面235與配準特徵的接合使診斷盤110在處理腔室107中達成目標位置和目標定向。
例如,複數個運動耦合介面235可被配置為傾斜的孔或插槽,以接收(或接合)位於處理腔室107內的靜電吸盤(ESC)150的晶圓升降銷(圖2F中的253)。圖2E圖示了圖2A的診斷盤110中的複數個運動耦合235的範例的側橫截面視圖。運動耦合是一種夾具,經設計以藉由提供精確和確定的位置來準確約束零件(例如,晶圓升降銷)。複數個運動耦合235因此可使診斷盤110在部件上方或下方居中,使得非接觸感測器一般在被成像或掃描的部件的方向上定向。
圖2F圖示了根據本揭示案的一個實施例的將診斷盤110向下設定在ESC 150上的晶圓升降銷253及複數個運動耦合235和ESC 150之間的低接觸區域(LCA)250。如圖所示,在一個實施例中,複數個運動耦合235可提供用於藉由升降銷253輕鬆升降接合的脫模角(draft angle)。在各種實施例中,運動耦合由以下其中一者製成:銅、不銹鋼、圓環、瓦斯佩爾(vaspel)、碳纖維、rexolite、或聚醚醚酮(PEEK)。因為運動耦合235不是金屬且碰觸ESC 150的表面,診斷盤110避免了刮傷或損壞ESC 150。LCA 250和運動耦合235的材料也可幫助減低顆粒的產生和污染。
在各種實施例中,控制器109(例如,計算系統)可從工廠介面機械手111、晶圓傳送腔室機械手112、及/或每一非接觸感測器接收信號並向其發送控制。以此方式,控制器109可啟動診斷,其中例如處理腔室107之其中一者中的部件已操作了某小時數。控制器109可向工廠介面機械手111發送信號以從基板盒102之其中一者拾取診斷盤110之其中一者且將診斷盤110傳送到例如站104b,例如,站104b可為裝載鎖或脫氣腔室。此後,傳送腔室機械手112可例如使用機械臂的末端執行器拾取診斷盤110且將診斷盤110放置在處理腔室107中,在處理腔室107中診斷盤110可獲取部件的感測器資料。可例如使用無線通訊電路經由WAP裝置129將感測器資料無線傳送到控制器109。
圖2G圖示了根據本揭示案的實施例描繪了診斷盤上的四個非接觸感測器的位置的示意圖。在所描繪的實施例中,盤形主體210包括在盤形主體的圓周上的第一位置221處的凹口。第一位置221可被稱為0度的起始角。凹口可與預對準器一起使用,使得診斷盤110可放置在處理腔室107中的選定位置及/或可藉由末端執行器拾取。
在所描繪的實施例中,第一非接觸感測器230A可附接到第一突出部204A,將第一突出部204A放置成與凹口的第一位置呈約170度至180度的角度。在所描繪的實施例中,第二非接觸感測器230B可附接到第二突出部204B,將第二突出部204B放置成與凹口的第一位置呈約225度至235度的角度。在所描繪的實施例中,第三非接觸感測器230C可附接到第三突出部204C,將第三突出部204C放置成與凹口的第一位置呈約295度至305度的角度。在所描繪的實施例中,第四非接觸感測器230D可附接到第四突出部204D,將第四突出部204D放置成與凹口的第一位置呈約55度至65度的角度。
第一非接觸感測器230A可在與盤形主體210的外周邊相距約295 mm至約305 mm處附接到第一突出部204A。可將分別附接到第二突出部204B、第三突出部204C、和第四突出部204D的第二非接觸感測器230B、第三非接觸感測器230C、和第四非接觸感測器230D放置於與盤形主體210的外周邊相距約310 mm至約320 mm處。
第二突出部204B、第三突出部204C、和第四突出部204D,及對應的第二非接觸感測器230B、第三非接觸感測器230C、和第​​四非接觸感測器230D的位置(如相關於圖2G所述)不應被解釋為限制性的,因為它們的位置可根據所使用的處理腔室、所使用的主要框架機械手、所使用的傳送腔室機械手、機械手的末端執行器等而變化。可以其他角度或在其他位置佈置至少一個突出部和附接到其上的非接觸感測器,只要非接觸感測器具有間隙(例如,通過末端執行器)以查看正在診斷的處理腔室內的部件或區域。
在圖2A、2B、2C、2D、2G和7B中所描繪的實施例中,放置第一非接觸感測器230A(例如,第一相機)以使第一非接觸感測器230A在平坦區域222(也在圖7A中為800)的邊緣及ESC 150的圓形邊緣的起始上居中。放置所描繪的實施例中的第二非接觸感測器230B(例如,第二相機)、第三非接觸感測器230C(例如,第三相機)和第四非接觸感測器230D(例如,第四相機)以觀察處理套件的環區段(例如,邊緣環90和支撐環390)。根據下方相關於圖7A至7B進一步詳細描述的實施例,所描繪的實施例中的非接觸感測器230A、230B、230C和230D的放置允許了測量ESC 150和處理套件環之間的間隙以決定處理套件環的對準和同心度。
在實施例中,可以與晶圓相同的方式使用相同的機械手在晶圓處理系統內傳送診斷盤110。因此,診斷盤可具有使其能夠移動穿過晶圓處理的某些屬性,例如某些目標尺寸(例如,高度和寬度)、目標重量、目標質心等。高度和寬度可具有本文上述相關於圖2C的DIA和H的尺寸。在某些實施例中,診斷盤110的質量範圍可從約500 g至約700 g、從約530 g至約650 g、或從約550 g至約600 g。在某些實施例中,診斷盤110的質心可與直徑中心相距約0.05至約0.15、約-0.15至約0.0、約0.0至約0.15(X、Y、Z)。在某些實施例中,診斷盤110的質心可與直徑中心相距約0.10至約0.13、約-0.10至約-0.05、約0.05至約0.10(X、Y、Z)。
診斷盤110的內部空腔208內的各種部件(例如至少PCB 203、電路系統205和無線充電器209)都可由玻璃增強環氧樹脂層壓材料(例如,FR-4)和銅製成。在某些實施例中,PCB 203的重量可從約40 g至約70 g、從約45 g至約65 g、或從約50 g至約60 g。在某些實施例中,無線充電器209的重量可從約5 g至約20 g、從約8 g至約17 g、或從約10 g至約15 g。在某些實施例中,電路系統205的重量可從約10 g至約20 g、從約12 g至約18 g、或從約14 g至約16 g。
可將本文描述的任何診斷盤傳送進入處理腔室(例如處理腔室107)以原位產生感測器資料,而無需對處理腔室排氣。因此,診斷盤110可暴露於高真空及/或高溫及/或侵蝕性環境。現有的功率源(例如鋰電池)在真空及/或高溫環境下可能會洩漏、膨脹或甚至爆炸。
在實施例中,本揭示案係耦合到PCB的功率源,PCB具有設置在其上的功率管理電路系統以形成功率單元。在實施例中,功率單元經配置以在高真空和高溫下操作而不會變形(例如,不隆起)、破裂或爆炸。高真空可包括高達約50 mTorr(例如,從約0.1 mTorr至約50 mTorr、從約15 mTorr至約50 mTorr、或從約30 mTorr至約50 mTorr)的壓力。高溫可包括約攝氏50度至約攝氏120度、約攝氏65度至約攝氏120度、約攝氏80度至約攝氏120度、約攝氏50度至約攝氏80度、或約攝氏65度至約攝氏80度的溫度。在某些實施例中,可在範圍從約攝氏-20度至約攝氏120度、從約攝氏0度至約攝氏120度、從約攝氏20度至約攝氏120度、從約攝氏50度至約攝氏85度的溫度下操作功率源,或其中的任何單一值或子範圍。
圖3A圖示了根據本揭示案的實施例可在診斷盤110中使用的功率單元的頂部視圖。功率單元300包括PCB 203和超級電容器310(例如,在圖3A中描繪的實施例中的310A、310B、及310C的組合),超級電容器310包括複數個並聯和串聯連接的電容器。在圖3A中所描繪的實施例中,六個電容器的三個群組310A、310B和310C串聯連接且三個群組(310A、310B和310C)並聯連接。圖3A中描繪的佈置不應被解釋為限制。發明所屬領域具有通常知識者應理解,可以並聯、串聯和組合的各種佈置方式來佈置不同數量的電容器,以達到目標功率屬性。
在某些實施例中,超級電容器310可耦合到PCB 203。替代地,超級電容器310可為PCB 203的整體部分。例如,圖3B圖示了根據本揭示案的其他實施例的功率單元的透視頂部視圖,可用於作為PCB 203的整體部分的超級電容器320的診斷盤110中。在圖3B中所描繪的實施例中,PCB 203可為兩層PCB。在圖3B中所描繪的實施例的兩層PCB 203上形成的超級電容器320包括並聯連接的八個群組(PCB的每一側上有四個,圖3B中僅展示一側的四個群組320A、320B、320C、320D)且每一群組包括六個串聯連接的電容器。
在某些實施例中,無論超級電容器(例如,310或320或未展示的其他佈置)和PCB 203的佈置如何,功率單元300可進一步包括氣密密封的外殼,使得若功率源在高真空及/或高溫條件下故障,功率源的材料保持封閉且不會污染診斷盤110或處理腔室107。用於功率單元的外殼也提供對功率單元的真空保護及/或電隔離。
在圖3A中所描繪的實施例中,超級電容器310被封裝在由矽製成的氣密密封外殼中。在某些實施例中,外殼可由金屬製成。氣密密封外殼可共形地包覆功率源或整個功率單元(例如,至少功率源與PCB一起)。可藉由將功率源或功率單元放置成一種形式(例如,模具)中並用外殼材料(例如,矽)淹沒該形式而在功率源或整個功率單元上形成氣密密封。也可適當地使用用於氣密密封功率源或整個功率單元的其他方法。
在圖3A中所描繪的實施例中,複數個電容器包括氯化鈉。在某些實施例中,氯化鈉在高真空和高溫環境下有利地比目前在功率源中使用的某些材料(例如鋰)更穩定。鋰是一種自氧化劑,在高溫和高真空環境中,鋰可能會點燃、產生自身的氧氣並爆裂。鋰也是一種重金屬,若鋰污染了診斷盤或處理腔室之​​任一者,則無法從診斷盤或從處理腔室清潔。相比之下,若含有氯化鈉的超級電容器在處理腔室的高溫和高真空環境下發生故障並破裂,則可很容易地清潔鈉。在某些實施例中,功率源不含重金屬。在一個實施例中,功率源不含鋰。在一個實施例中,功率源不含銅。
在某些實施例中,功率源可包括鋰,只要功率單元經配置以在高真空和高溫下操作而不爆裂或爆炸且可選地不變形。
在各種實施例中,功率源可具有低輪廓,例如高達約6 mm、高達約5.5 mm或高達約5 mm的高度,因此可裝配在由盤形主體和蓋所形成的內部內,被屏蔽在該內部內,並與盤形主體外部的環境隔離。在一實施例中,功率源可具有範圍從約45 mm到約50 mm、從約46 mm到約49 mm、或從約47 mm到約48 mm的寬度和範圍從約50 mm到約200 mm、從約65 mm至約185 mm,或從約80 mm至約170 mm的長度。在一實施例中,功率源的重量範圍可從約40 g至約60 g、從約45 g至約55 g、或從約48 g至約52 g。在某些實施例中,該等尺寸是指沒有PCB的超級電容器本身。在其他實施例中,該等尺寸是指與PCB一起的超級電容器。
在某些實施例中,超級電容器的單一串接(例如,串聯的六個電容器的每一串接,例如圖3A和3B中個別的310A或320A)可具有一些目標屬性。例如,超級電容器的單一串可具有以下一者或更多者:輸出電壓約8.4 V,電容約15 F,高度約2 mm至約3 mm,寬度約12 mm至約16 mm,長度約70 mm至約75 mm,質量約8 g至約10 g,最小儲存能量約105 W,操作溫度範圍約攝氏-20度至約攝氏120度,等效串聯電阻(ESR)約15歐姆,24小時漏電流約0.10至約0.15 mA,最大充電電流約50 mA,最大放電電流約70 mA,及最低放電電壓約4.8 V。
在一個實施例中,功率源可包括鍵控特徵(例如PCB上的機械特徵或具有鍵的蝕刻連接器)以確保功率源被正確放置。
在各種實施例中,可使用PCB上的充電電路對功率源充電,該充電電路限制頂端和底端處的電壓並保護功率源免於過度充電或充電不足。功率源可在大氣壓和室溫(例如,約攝氏20度至約攝氏30度)或真空下充電。在某些實施例中,可藉由將診斷盤放置在充電區塊或充電板旁邊來對功率源無線充電。在某些實施例中,診斷盤110可包括用於在其上無線充電的充電位置標識符。替代地,可使用USB纜線為功率源充電。
當進行診斷掃描時,複數個診斷盤110可旋轉,使得當第一診斷盤的功率源被充電時,第二診斷盤執行診斷掃描。隨後,兩個診斷盤可交換位置,使得第二診斷盤的功率源可在第一診斷盤執行診斷掃描的同時充電。
在某些實施例中,功率源具有約1:2至約3:2或約1:1的充電時間與運行時間比。如本文所用,1:1的充電時間與運行時間比意味著為功率源充電約30分鐘將足以為診斷盤110供電約30分鐘。如本文所用,1:2的充電時間與運行時間比意味著為功率源充電約30分鐘將足以為診斷盤110供電約60分鐘。如本文所用,3:2的充電時間與運行時間比意味著為功率源充電約30分鐘將足以為診斷盤110供電約20分鐘。在某些實施例中,功率源足以在約15分鐘至約60分鐘、約20分鐘至約50分鐘、或約25分鐘至約45分鐘的運行時間為診斷盤和診斷盤的操作(例如,影像捕捉)供電。
本文列舉的充電時間與運行時間比不應被解釋為限制性的。在某些實施例中,充電時間與運行時間比的範圍可從約1:100、約1:75、約1:50、約1:25、約1:10、約1:10、約1:5、或約1:1之任一者至約5:1、約10:1、約15:1、約25:1、約50:1、約75:1、或約100:1之任一者,或任何其中的子範圍或單一值。
在某些實施例中,功率源具有約3.7 V的電壓輸出和範圍從約300 mA至約1200 mA、從約350 mA至約800 Ma、或約400 mA至約600 mA的電流使用。在某些實施例中,功率源具有範圍從約400 mAh至約600 mAh、從約450 mAh至約650 mAh、或從約480 mAh至約620 mAh的電流容量。
在某些實施例中,功率源是耐用的且在攝氏1度下具有至少約350個循環、至少約400個循環、至少約450個循環、或至少約500個循環的使用壽命。術語「使用壽命」是指功率源可使用的循環數,直到功率源具有約80%的剩餘容量,其中單一循環是指正在充電的功率源,該功率源使用本文所述的任一診斷盤來對診斷掃描供電,及正在放電的功率源。在一個實施例中,單一循環內的診斷掃描可包括在其期間產生感測器資料的複數個多分鐘測量(例如,12個3分鐘測量或每一測量中8張照片捕捉的4個測量)。
本文描述的功率源可為無毒的且安全地在沒有與傳統鋰電池中發現的類似的特殊分類的飛機中不受管制地旅行。因此,本文所述的功率源可符合安全規定UL 2054、IEC 62133 ed.2及UN 38.3。
合適的功率源可具有某些目標屬性(例如但不限於電壓輸出、電流使用、能量密度、等效串聯電阻、質量等)以形成能夠使用診斷盤110一目標持續時間且在高溫和高真空條件下不會變形、爆炸、破裂或污染處理腔室的功率單元。根據一實施例的用於功率源的示例性屬性在下表2中概述。 表2-根據一實施例的功率源的示例性屬性
屬性
寬度 47.5 mm
長度 84.5 mm
高度 6.0 mm
質量 40-60 克(e.g., 50 克)
電流容量 500 mAh
電壓範圍 3.7V 額定
尖峰負載 1200 mA
額定負載 350 mA – 850 mA
溫度 120C
真空規格 0.1 mTorr
運行時間 30 min
能量密度 9 Ws/g 至 13 Ws/g
等效串聯電阻 (ESR) 高達約 1.5 ohm
雖然本文所述相關於圖3A和3B的功率源是用診斷盤來描繪的,發明所屬領域具有通常知識者將理解,可使用類似的功率源為晶圓處理系統100或處理腔室107中的其他裝置供電。該等功率源是特別有利的,因為它們遠離採用經由電線為晶圓處理系統部件供電。本文描述的功率源的優勢也在於它們的低成本、改進的效能、低毒性、在高/低溫/真空條件和侵蝕環境下的耐用性、高循環壽命、可擴展性、有益的充電時間與運行時間比、及易於經由飛機運輸。
圖4是根據本揭示案的各種實施例使用診斷盤以用於獲得處理腔室(例如圖1B的處理腔室107)內的部件的感測器資料的方法400的流程圖。可藉由處理邏輯來執行方法400的一些操作,處理邏輯可包括硬體(電路系統、專用邏輯等)、軟體(例如在通用電腦系統或專用機器上運行)、韌體、或上述的一些組合。可藉由計算裝置來執行方法400的一些操作,例如圖1A的控制器109,以控制機械臂及/或非接觸感測器。例如,執行方法400的一個或更多個操作的處理邏輯可在控制器109上執行。
為了簡化解釋,該等方法被描繪和描述為一系列動作。然而,根據本揭示案的動作可以各種順序及/或同時發生,且與本文未呈現和描述的其他動作一起發生。此外,並非所有圖示的動作都可被執行以實作根據所揭露標的之方法。此外,發明所屬領域具有通常知識者將理解和領會,該等方法可替代地經由狀態圖或事件表示為一系列相互關聯的狀態。
參考圖4,方法400可始於處理邏輯將一個或一組診斷盤110裝載到一個基板盒102(例如FOUP或SSP)內(405)。在一個實施例中,將一個或更多個診斷盤儲存在FOUP中,FOUP也包含邊緣環,或更一般地,包含處理套件環。在一個實施例中,將多個診斷盤儲存在經設計以收容診斷盤的FOUP中。方法400可繼續以下操作:基於基板處理系統內的處理腔室的RF操作小時數(410)及/或基於其他準則(例如,自對處理腔室中的部件執行最後一次分析以來已過去的時間量),處理邏輯決定部件處理腔室107中的某個部件應進行診斷掃描。處理邏輯也可決定針對該部件的診斷掃描的類型(亦即,要產生的感測器資料的類型,例如計量資料、間隙測量等)(410)。
方法400可繼續以下操作:處理邏輯使診斷盤110之其中一者以與用於移動晶圓類似的移動從FOUP(或SSP)傳送到處理腔室(415)。在實施例中,這包括使工廠介面區域(例如圖1A中的91)內的機械臂從晶圓儲存區域移動診斷盤110並將診斷盤裝載進入基板處理系統的裝載鎖(例如,藉由工廠介面機械手111)。在實施例中,這可進一步包括使傳送腔室區域(例如圖1A中的106)內的機械臂將診斷盤110從裝載鎖收回至處理腔室(例如,藉由傳送腔室機械手112)。這可包括使傳送腔室106內的機械臂的末端執行器以與用於移動晶圓類似的移動來拾取及放置診斷盤110進入處理腔室107。
可選地,方法400可繼續以下操作:處理邏輯使傳送腔室機械手112將診斷盤110從機械臂的末端執行器傳送到ESC 150的晶圓提升銷253(圖2F)。在一個實施例中,方法400可進一步包括使處理腔室中的基板支撐組件的複數個晶圓升降銷升高,使得診斷盤110的複數個運動耦合介面(例如,圖2E中的235)與複數個晶圓升降銷接合以使診斷盤110具有目標位置和目標定向。在一個實施例中,方法400可進一步包括使處理腔室中的基板支撐組件的複數個晶圓升降銷下降,例如,將診斷盤110設定在ESC上(420)。
方法400可繼續以下操作:處理邏輯與診斷盤110之其中至少一者建立無線連接(420)。無線連接可為安全無線連接。可在將診斷盤110放置在處理腔室中之前或在將診斷盤110放置在處理腔室中之後建立無線連接。在建立無線連接之後,方法400可繼續以下操作:處理邏輯將指令檔加載到診斷盤110上,該指令檔使診斷盤110根據診斷掃描演算法來監測溫度、控制照明、並產生感測器資料。
方法400可進一步包括以下操作:處理邏輯使診斷盤110使用診斷盤的一個或更多個非接觸感測器來產生處理腔室的部件的感測器資料(425)。例如,使診斷盤110產生感測器資料可包括使診斷盤的非接觸感測器產生描繪複數個多分鐘測量的感測器資料。在一個實施例中,使診斷盤110上的相機產生感測器資料可包括使相機自動對焦、照明、和拍攝部件的一部分的第一影像,隨後使相機自動對焦、照明、和拍攝部件的該部分的第二影像,隨後使相機自動對焦、照明、和拍攝部件的該部分的第三影像。
方法400可進一步包括經由無線連接從診斷盤接收感測器資料(430)。當診斷盤110設置在機械臂的葉片上且在處理腔室內時,當診斷盤在晶圓升降銷253上時,或在診斷盤110已降低到ESC 150之後,可接收感測器資料。
另外參考圖4,在各種實施例中,方法400進一步包括處理邏輯分析感測器資料以決定以下至少一者:部件的對準、同心度、清潔度、腐蝕度、部件是否損壞、部件是否卡住(435)。
若方法400決定對準或同心度(440)且處理邏輯決定對準或同心度沒有偏斜,方法400可繼續以下操作:處理邏輯使傳送腔室機械手將診斷盤110從處理腔室移動回到裝載鎖。方法400可進一步繼續以下操作:處理邏輯決定不需要額外的維護且使工廠介面機械手將診斷盤110從裝載鎖移動回到儲存區域(例如,FOUP或SSP)(450)。方法400可進一步繼續以下操作:處理邏輯使基板處理在再次啟動部件的診斷掃描之前持續額外的RF小時數(455)。
然而,若部件的對準或同心度偏斜,方法400可繼續以下操作:處理邏輯啟動部件的對準或同心度的自動校正(445)。
若方法400決定清潔度(460)且處理邏輯決定清潔度未達到污染臨界值,方法400可繼續以下操作:處理邏輯使傳送腔室機械手將診斷盤110從處理腔室移動回到裝載鎖。方法400可進一步繼續以下操作:處理邏輯決定不需要額外的維護且使工廠介面機械手將診斷盤110從裝載鎖移動回到儲存區域(例如,FOUP或SSP)(450)。方法400可進一步繼續以下操作:處理邏輯使基板處理在再次啟動部件的診斷掃描之前持續額外的RF小時數以決定清潔度(455)。
然而,若部件的清潔度達到污染臨界值,方法400可繼續以下操作:處理邏輯啟動部件的自動清潔(465)。
若方法400決定腐蝕度(470)且處理邏輯決定腐蝕度未達到壽命終止臨界值,方法400可繼續以下操作:處理邏輯使傳送腔室機械手將診斷盤110從處理腔室移動回到裝載鎖。方法400可進一步繼續以下操作:處理邏輯決定不需要額外的維護且使工廠介面機械手將診斷盤110從裝載鎖移動回到儲存區域(例如,FOUP或SSP)(450)。方法400可進一步繼續以下操作:處理邏輯使基板處理在再次啟動部件的診斷掃描之前持續額外的RF小時數以決定腐蝕度(455)。
然而,若部件的腐蝕度達到壽命終止臨界值,方法400可繼續以下操作:處理邏輯啟動部件的自動更換(475)。部件的更換可包括將磨損的部件(例如,處理套件環)從處理腔室移除回到儲存區域(例如,FOUP或SSP)。部件的更換可進一步可選地包括使用處理腔室的加壓氣體源(例如,氮)來沖洗磨損部件周圍的殘留物和顆粒。部件的更換可進一步包括將新部件從儲存區域移動進入處理腔室以作為用於磨損部件的更換。部件的更換可進一步包括使用機械手葉片將新部件放置進入處理腔室。
若方法400識別損壞或卡住的部件(480)且處理邏輯決定部件沒有損壞或沒有卡住,方法400可繼續以下操作:處理邏輯使傳送腔室機械手將診斷盤110從處理腔室移動回到裝載鎖。方法400可進一步繼續以下操作:處理邏輯決定不需要額外的維護且使工廠介面機械手將診斷盤110從裝載鎖移動回到儲存區域(例如,FOUP或SSP)(450)。方法400可進一步繼續以下操作:處理邏輯使基板處理在再次啟動部件的診斷掃描之前持續額外的RF小時數以識別損壞或卡住的部件(455)。
然而,若部件損壞,方法400可繼續以下操作:處理邏輯啟動部件的自動更換,類似於如上所述更換磨損部件(485)。若部件被卡住,方法400可繼續以下操作:處理邏輯啟動部件的自動移動(485)。
方法400的功能可針對額外的處理腔室中的額外部件重複進行(490)。也可重複方法400的功能以診斷與處理腔室中的部件相關聯的其他問題,可藉由本文所述的任何診斷盤來診斷及/或可使用處理腔室內的機械手來自動解決該等問題,例如傳送腔室機械手112。
在實施例中,由診斷盤產生的感測器資料可包括影像資料。在實施例中,分析感測器資料的處理邏輯包括將影像處理演算法或經訓練的機器學習模型之其中一者應用於決定相關於所診斷的部件的以下至少一者的感測器資料:對準、同心度、清潔度、腐蝕度、部件是否損壞、部件是否卡住等。
圖5是使用診斷盤的原位非接觸感測器(例如,非接觸感測器230)以用於產生感測器資料並將該感測器資料無線傳送到控制器109(例如,計算系統)的方法500的流程圖。可藉由處理邏輯來執行方法500的一些操作,處理邏輯可包括硬體(電路系統、專用邏輯等)、軟體(例如在通用電腦系統或專用機器上運行)、韌體、或上述的一些組合。可在將診斷盤110放置進入處理腔室之前或之後藉由診斷盤110來執行方法500的一些操作。
為了簡化解釋,該等方法被描繪和描述為一系列動作。然而,根據本揭示案的動作可以各種順序及/或同時發生,且與本文未呈現和描述的其他動作一起發生。此外,並非所有圖示的動作都可被執行以實作根據所揭露標的之方法。此外,發明所屬領域具有通常知識者將理解和領會,該等方法可替代地經由狀態圖或事件表示為一系列相互關聯的狀態。
參考圖5,方法500可始於診斷盤110使用診斷盤的無線電路建立與計算系統的安全無線連接(510)。可在診斷盤進入處理腔室(例如,圖1A中的處理腔室107)之前或之後建立診斷盤和計算系統之間的安全無線連接。可在診斷盤由傳送機械手112的機械臂夾持時、在將診斷盤放置在晶圓銷253上時、或在將診斷盤放置在ESC 250上時建立診斷盤和計算系統之間的安全無線連接。
方法500可繼續以下操作:診斷盤從計算系統接收指令檔,經由該指令檔可指示診斷盤110以例如監測溫度、控制照明、和產生感測器資料。
方法500可繼續以下操作:診斷盤110的至少一個非接觸感測器(例如,230A、230B、230C或230D)產生設置在處理腔室(例如,處理腔室107)內的部件的至少一部分的感測器資料(520)。可在與晶圓處理期間處理腔室中存在的壓力和溫度類似的壓力和溫度下執行產生部件的至少一部分的感測器資料。例如,可在真空(例如,約0.1 mTorr至約50 mTorr、約15 mTorr至約50 mTorr、或約30 mTorr至約50 mTorr)及/或溫度範圍約攝氏-20度至約攝氏120度、約攝氏0度至約攝氏120度、約攝氏50度至約攝氏85度、約攝氏50度至約攝氏120度、約攝氏65度至約攝氏120度、約攝氏80度至約攝氏120度、約攝氏50度至約攝氏80度、或約攝氏65度至約攝氏80度下在處理腔室中產生感測器資料。
產生感測器資料可包括診斷盤的非接觸感測器進行複數個多分鐘測量。在一個實施例中,診斷盤110上的相機藉由自動對焦在部件的一部分(例如,邊緣環、處理套件環、或ESC)、照明、及拍攝該部件的一部分的第一影像、隨後自動對焦、照明、及拍攝該部件的該部分的第二影像、隨後自動對焦、照明、及拍攝該部件的該部分的第三影像來產生感測器資料。在某些實施例中,診斷盤110可在拍攝影像之前在不自動對焦及/或不照明該部件的該部分的情況下產生感測器資料。
診斷盤110的至少一個非接觸感測器可產生處理腔室內的各種部件的感測器資料。一些示例性部件包括但不限於:處理套件環、單環、基板支撐組件、靜電吸盤(ESC)、腔室壁、基底、氣體管線、氣體分配板、面板、噴頭、噴嘴、蓋、襯墊、襯墊套件、屏蔽、電漿屏、遠端電漿源、流量等化器、冷卻基底、腔室觀察端口、或腔室蓋。
繼續參考圖5,方法500可繼續以下操作:將感測器資料儲存在診斷盤的記憶體中(530)。方法500可進一步繼續以下操作:診斷盤的無線通訊電路將感測器資料無線傳送到計算系統(540)。在某些實施例中,診斷盤可僅將感測器資料傳送到計算系統而不將感測器資料儲存在診斷盤的記憶體中。感測器資料可包括要由計算系統分析以決定相關於部件的以下至少一者的影像資料:對準、同心度、清潔度、腐蝕度、部件是否損壞、部件是否卡住等。
方法500可繼續以下操作:終止與計算系統的安全無線連接(550)。方法500可進一步繼續以下操作:從診斷盤的記憶體清除感測器資料(560)。在某些實施例中,診斷盤也將在終止與計算系統的安全無線連接之後清除從計算系統接收的任何指令檔。在某些實施例中,診斷盤將在終止與計算系統的安全無線連接之後關閉診斷盤的非接觸感測器及/或診斷盤的照明部件。
方法500的功能可針對額外的處理腔室中的額外部件重複進行(570)。也可重複方法500的功能以診斷與處理腔室中的部件相關聯的其他問題,可藉由本文所述的任何診斷盤來診斷及/或可使用處理腔室內的機械手來自動解決該等問題,例如傳送腔室機械手112。 範例
闡述以下範例以幫助理解本揭示案而不應被解釋為特定限制本文所述和所主張的揭示。本揭示案的此類變化,包括任何或所有現在已知或以後開發的等效物的更換,其將落在發明所屬領域具有通常知識者的權限內,且架構、操作、設計或屬性上的次要改變都應被考量落入併入本文的揭示案的範圍內。 範例1–診斷掃描以決定邊緣和支撐環的腐蝕度
圖6A圖示了根據本揭示案的實施例被放置在處理腔室(例如,107)的ESC(例如,150)的晶圓升降銷(例如,253)上的診斷盤(例如,110)的側橫截面視圖。診斷盤被圖示為設定在位於傳送腔室(例如,106)內的傳送腔室機械手(例如,112)的機械臂的末端執行器(例如,機械手葉片)的頂部上。已圈出繞著邊緣環的一部分所在的ESC(例如,150)的左側部分的區域311,區域311在圖6B至6C中被放大。
圖6B是根據本揭示案的示例性實施例的圖3的診斷盤(例如,110)的一部分的分解視圖,其中非接觸感測器230是捕捉邊緣和支撐環的感測器資料的高解析度相機。可升高圖6A中所圖示的晶圓升降銷(例如,253),且傳送腔室機械手(例如,112)的機械臂的末端執行器可將診斷盤(例如,110)向下設定於晶圓升降銷(例如,253)上。診斷盤上的運動耦合(例如,235)可確保晶圓升降銷被迫將診斷盤居中在ESC(例如,150)上,使得每一非接觸感測器230被垂直放置於邊緣環90的頂部上。在一個實施例中,晶圓升降銷(例如,253)僅稍微升高,使得非接觸感測器230與邊緣環90留下較小的間隙。在診斷盤(例如,110)安置在晶圓升降銷(例如,253)上時,非接觸感測器230可產生感測器資料以指示邊緣環90的腐蝕度且將感測器資料無線通訊至控制器(例如,109)。
圖6C是根據本揭示案的示例性實施例的圖6A的診斷盤(例如,110)的一部分的分解視圖,其中每一非接觸感測器230捕捉邊緣環90和支撐環390的感測器資料。在該實施例中,可降低晶圓升降銷(例如,253),使得診斷盤110安置在ESC(例如,150)的頂部上。在另一實施例中,使用其他機構以將診斷盤(例如,110)引導到ESC(例如,150)上,例如使用來自非接觸感測器的感測器資料。每一非接觸感測器230被帶入非常接近邊緣環90,但仍保持非接觸感測器230和邊緣環90之間的間隙。在診斷盤(例如,110)安置在ESC(例如150)上時,非接觸感測器230可產生感測器資料以指示邊緣環及/或支撐環的腐蝕度且將感測器資料無線通訊至控制器(例如,109)。
如圖6B至6C中所觀察到,當邊緣環90的腐蝕足夠深時,位於邊緣環90下方和邊緣環90與ESC 150之間的支撐環390也可能被腐蝕(或磨損)。據此,當邊緣環90被更換時,支撐環390也可同時被更換,例如作為處理套件環。 範例2–用於決定處理套件環的對準的診斷掃描
圖7A圖示了根據本揭示案的一個實施例的圍繞靜電吸盤(ESC)150的邊緣環90和支撐環390的頂部平面視圖。ESC 150可包括沿著ESC 150邊緣的圓周的平坦區域800(或其他凹口或配準特徵),以用於對準放置在其上的晶圓。以類似的方式,支撐環390可包括對應的平坦區域(或凹口或配準特徵),使得當支撐環390和邊緣環90被更換為環套件時,可沿著平坦區域800定向整個環套件,因而適當地固定到以處理腔室107的ESC 150為中心的位置。
在本揭示案的實施例中,控制器109可從本文描述的任何非接觸感測器接收感測器資料,其中控制器109可從感測器資料來決定在環套件更換期間平坦區域是否相互對準。若平坦區域沒有適當地對準,控制器109可向傳送腔室機械手112發送信號以從處理腔室107取出環套件,然後在重新插入處理腔室107之前可在機械臂的末端執行器處重新對準。
例如,控制器109可決定旋轉誤差,旋轉誤差可為環套件的目標定向和目前定向之間的旋轉角度。控制器109可向傳送腔室機械手112發送指令以使傳送腔室機械手112將末端執行器(和支撐在末端執行器上的環套件)旋轉一指定量以校正和消除旋轉誤差。傳送腔室機械手112然後可經由具有校正定向的對應端口108將邊緣環90放置進入處理腔室107。據此,可使用傳送腔室機械手112的自由度而不使用對準器站來消除邊緣環90的旋轉誤差。
在一些實施例中,傳送腔室機械手112可校正高達邊緣環90的旋轉誤差的臨界值量。例如,一個傳送腔室機械手112可能夠校正高達5度的旋轉誤差,而其他工廠傳送腔室機械手112可能夠校正高達3度的旋轉誤差、7度的旋轉誤差、或一些其他量的旋轉誤差。若偵測到的旋轉誤差大於可由傳送腔室機械手112校正的旋轉誤差的臨界值量,則傳送腔室機械手112可將環套件放置在中間站(未展示)處,重新放置末端執行器,然後以消除旋轉誤差或減低旋轉誤差的方式取回環套件,使得其小於或等於可基於末端執行器的旋轉而校正的旋轉誤差的臨界值量。
在本揭示案的其他實施例中,控制器109可從本文描述的任何非接觸感測器接收感測器資料,其中控制器109可從感測器資料來決定處理套件環在更換環套件期間是否偏移(亦即,偏離中心)。可經由分析邊緣環90和支撐環390之間或邊緣環90和ESC 150之間的間隙來決定處理套件環的對準和同心度。為了產生感測器資料以指示邊緣環90及支撐環390之間或邊緣環90和ESC 150之間的間隙,可將一個非接觸感測器(例如,相機)放置在提供到平面800的邊緣和ESC 150的圓形邊緣的開始的清晰視線的位置,且將其餘的非接觸感測器(例如,相機)放置在提供到邊緣環90和支撐環390的環區段的清晰視線的位置。
處理由該等相機產生的感測器資料可提供以下至少一些資訊:環就定位、環包括正確的材料、ESC平面和環平面之間的旋轉角度、處理環的內邊緣與ESC 150外邊緣之間的間隙的四個點、同心度等。
例如,若ESC和環套件的平坦區域沒有適當地居中,控制器109可向傳送腔室機械手112發出信號以從處理腔室107取出環套件,然後可在重新插入處理腔室107之前在機械臂的末端執行器處重新對準。
例如,控制器109可決定放置誤差,放置誤差可為邊緣環和支撐環之間在目標間隙範圍之外的間隙。控制器109可向傳送腔室機械手112發送指令以使傳送腔室機械手112在指定方向上移動末端執行器(和支撐在末端執行器上的環套件)一指定量,以校正和消除放置誤差。傳送腔室機械手112然後可經由具有校正定向的對應端口108將邊緣環90放置進入處理腔室107。據此,可使用傳送腔室機械手112的自由度而不使用對準器站來消除邊緣環90的放置誤差。
在一些實施例中,傳送腔室機械手112可校正高達邊緣環90的放置誤差的臨界值量。若偵測到的放置誤差大於可由傳送腔室機械手112校正的放置誤差的臨界值量,則傳送腔室機械手112可將環套件放置在中間站(未展示)處,重新放置末端執行器,然後以消除放置誤差或減低放置誤差的方式取回環套件,使得其小於或等於可基於末端執行器的移動而校正的放置誤差的臨界值量。
圖7B圖示了根據本揭示案的實施例經配置以觀察處理套件環的放置(例如對準和同心度)的診斷盤(例如,110)的觀察位置。診斷盤被圖示為在ESC(例如,150)上方一垂直距離處。診斷盤(例如,110)可在駐於傳送機械手的臂(例如,如傳送機械手112的末端執行器)中時,或在駐於晶圓升降銷(例如,253)上時到達所描繪的觀察位置。
在所描繪的實施例中,根據本揭示案的示例性實施例,診斷盤具有捕捉處理套件環的邊緣和曲率的感測器資料的四個高解析度相機(亦即,非接觸感測器)。在所描繪的觀察位置中,將第一相機730A放置於ESC 150的平坦區域800上方,其視線在可捕捉套件環的曲率的開始的平坦區域800上。在所描繪的觀察位置中,將第二相機730B、第三相機730C和第​​四相機730D都放置於套件環直徑的邊緣上方。該感測器資料可幫助控制器109以決定環套件的對準和同心度,例如,如相關於上方圖7A所述。
圖8是根據本揭示案的實施例可作為用於處理系統(例如,圖1A中的處理系統100)的系統控制器操作的範例的計算裝置1400。計算裝置1400是可在其內執行指令集的機器,用於使機器執行本文討論的任何一個或更多個方法。在替代實施例中,機器可連接(例如,網路連接)至區域網路(LAN)1464、內聯網路、外聯網路或網際網路中的其他機器。機器可在客戶端-伺服器網路環境中以伺服器或客戶端機器的能力操作,或作為同級間(或分佈式)網路環境中的同級機器操作。機器可為個人電腦(PC)、平板電腦、網路應用設備、伺服器、網路路由器、交換器或橋、或任何能夠執行指令集(依序或其他)的機器以指定該機器要採取的動作。此外,儘管僅圖示了單一機器,術語「機器」也應被視為包含個別地或聯合地執行一指令集(或多個指令集)的任何機器的集合(例如,電腦),以執行本文討論的任何一個或更多個方法。在一實施例中,計算裝置1400對應於圖1A的系統控制器109。在一個實施例中,系統控制器109為計算裝置1400的部件。
範例的計算裝置1400包含彼此經由匯流排1408通訊的處理裝置1402、主要記憶體1404(例如,唯讀記憶體(ROM)、快閃記憶體、諸如同步DRAM (SDRAM)或Rambus DRAM (RDRAM)的動態隨機存取記憶體(DRAM)等)、靜態記憶體1406(例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等)和次級記憶體(例如,資料儲存裝置1412)。
處理裝置1402表示一個或更多個通用處理器,諸如微處理器、中央處理單元等。更特定地,處理裝置1402可為複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器、實作其他指令集的處理器、或實作指令集的組合的處理器。處理裝置1402也可為一個或更多個特殊用途處理裝置,例如特定應用積體電路(ASIC)、現場可編程閘陣列(FPGA)、數位信號處理器(DSP)、網路處理器等。處理裝置1402經配置以執行處理邏輯(指令1426)以用於執行本文討論的操作。在一個實施例中,系統控制器109對應於處理裝置1402。在實施例中,處理裝置1402執行指令1426以實作實施例中的方法400。
計算裝置1400可進一步包含網路介面裝置1408。計算裝置1400也可包含視訊顯示單元1410(例如,液晶顯示器(LCD)或陰極射線管(CRT))、字母數字輸入裝置1412(例如,鍵盤)、游標控制裝置1414(例如,滑鼠)和信號產生裝置1420(例如,揚聲器)。
資料儲存裝置1428可包含機器可讀取儲存媒體(或更特定地,電腦可讀取儲存媒體)1424,其上儲存了一個或更多個指令集1426以施行本文描述的任何一個或更多個方法或功能。指令1426也可在由電腦系統1400執行期間完全或至少部分地駐留在主要記憶體1404內及/或處理裝置1402內,主要記憶體1404和處理裝置1402也構成機器可讀取儲存媒體。
電腦可讀取儲存媒體1424也可用於有用於分析感測器資料的儲存指令1426及/或特徵誤差值1450以偵測例如:對齊、同心度、腐蝕度、處理腔室107內的部件的清潔度、處理腔室內的部件是否被卡住或損壞等。雖然電腦可讀取儲存媒體1424在範例實施例中被展示為單一媒體,術語「電腦可讀取儲存媒體」應被視為包含儲存一個或更多個指令集的單一媒體或多個媒體(例如,集中式或分佈式資料庫及/或相關聯的快取及伺服器)。術語「電腦可讀取儲存媒體」也應被視為包含能夠儲存或編碼指令集以供機器執行並且使機器執行本文所述的任何一個或更多個方法的任何媒體,而非載波。據此,術語「電腦可讀取儲存媒體」應被視為包含但不限於包括固態記憶體、及光學和磁性媒體的非暫態媒體。
為了提供對本發明的若干實施例的良好理解,前述闡述了許多特定細節,例如特定系統、部件、方法等的範例。然而,對於發明所屬領域具有通常知識者而言顯而易見的是,可在沒有該等特定細節的情況下實踐本發明的至少一些實施例。在其他情況下,眾所周知的部件或方法沒有詳細描述或以簡單的區塊圖格式呈現,以避免不必要地混淆本發明。因此,所闡述的特定細節僅為示例性的。特定的實作可從該等示例性細節變化,且仍被認為在本發明的範圍內。
在本說明書全文中對「一個實施例」或「一實施例」的引用意味著結合實施例描述的特定特徵、結構或特性被包括在至少一個實施例中。因此,本說明書全文各處中出現的短語「在一個實施例中」或「在一實施例中」不一定都指代相同的實施例。此外,術語「或」旨在表示包含的「或」而非排他的「或」。當在本文中使用術語「約」或「大致」時,這旨在表示所呈現的名義上的值精確在±10%內。
雖然以特定的順序展示和描述本文方法的操作,可變更每一方法的操作的順序,使得可以相反順序執行某些操作,或使得可至少部分與其他操作同時執行某些操作。在另一實施例中,不同操作的指令或子操作可為間歇及/或交替的方式。在一個實施例中,作為單一步驟執行多個金屬鍵合操作。
應理解,以上描述旨在說明性而非限制性。在閱讀和理解以上描述後,許多其他實施例對於發明所屬領域具有通常知識者而言將是顯而易見的。因此,應參考所附請求項以及該等請求項所賦予的等效物的全部範圍來決定本發明的範圍。
90:邊緣環 91:工廠介面 100:處理系統 101:腔室主體 102:基板盒 103a,103b:第一真空端口 104a,104b:站 105a,105b:第二真空端口 106:傳送腔室 107:處理腔室 108:端口 109:控制器 110:診斷盤 111:工廠介面機械手 112:傳送腔室機械手 113:加熱器元件 114:匹配網路 115:電源 116:第一線圈 118:第二線圈 119:偏置源 120:氣體面板 121:進入端口 122:真空泵 123:中央處理單元(CPU) 124:記憶體 125:支援電路 127:匹配網路 129:無線存取點(WAP)裝置 130:內部容積 132:電漿 133:蓋 135:功率分割器 136:RF饋送結構 137:電接地 138:RF功率源 142:電感耦合電漿設備 150:靜電吸盤 153:電極 155:控制器 160:吸附功率源 180:基板支撐組件 202:升高壁 203:印刷電路板(PCB) 204A:第一突出部 204B:第二突出部 204C:第三突出部 204D:第四突出部 205:電路系統 206A,206B:支撐突片 207:功率源 208:空腔 209:無線充電器 210:盤形主體 212:點 220:蓋 221:第一位置 222:平坦區域 230:非接觸感測器 230A:第一非接觸感測器 230B:第二非接觸感測器 230C:第三非接觸感測器 230D:第四非接觸感測器 232B:照明部件 232C:照明部件 232D:照明部件 235:運動耦合介面 250:低接觸區域(LCA) 253:晶圓升降銷 300:功率單元 310:超級電容器 310A~310C:群組 311:區域 320:超級電容器 320A~320D:群組 390:支撐環 400:方法 405~490:操作 500:方法 510~570:操作 730A:第一相機 730B:第二相機 730C:第三相機 730D:第四相機 800:平坦區域 1400:計算裝置 1402:處理裝置 1404:主要記憶體 1406:靜態記憶體 1408:匯流排 1410:視訊顯示單元 1412:字母數字輸入裝置 1414:游標控制裝置 1420:信號產生裝置 1422:網路介面裝置 1424:電腦可讀取儲存媒體 1426:指令 1428:資料儲存裝置 1450:特徵誤差值 1464:網路
在附圖的圖式中藉由範例而非限制的方式圖示了本發明,其中相同的參考數字指示相同的元件。需要說明的是,本揭示案中對「一」或「一個」實施例的不同參照並不一定是相同實施例,此類參照意指至少一個。
圖1A圖示了根據本揭示案的實施例的範例處理系統的簡化頂部視圖。
圖1B圖示了根據本揭示案的實施例的圖1A的處理腔室的示意性橫截面側視圖。
圖2A圖示了根據本揭示案的實施例的開啟的診斷盤的透視視圖。
圖2A1圖示了根據本揭示案的實施例的一個突出部和附接至其上的非接觸感測器的放大視圖。
圖2B圖示了根據本揭示案的實施例的診斷盤的底部視圖。
圖2C圖示了根據本揭示案的一些實施例的診斷盤的側橫截面視圖。
圖2D圖示了根據本揭示案的實施例的屏蔽的診斷盤的透視頂部視圖。
圖2E圖示了根據本揭示案的一個實施例的用於接合靜電吸盤(ESC)的晶圓升降銷的診斷盤中的運動耦合的側橫截面視圖。
圖2F圖示了根據本揭示案的一個實施例將診斷盤向下設定在ESC上的晶圓升降銷及運動耦合和ESC之間的低接觸區域。
圖2G圖示了根據本揭示案的實施例描繪診斷盤上的四個非接觸感測器的位置的示意圖。
圖3A圖示了根據本揭示案的實施例的功率源的頂部視圖。
圖3B圖示了根據本揭示案的實施例的功率源的透視頂部視圖。
圖4是根據本揭示案的實施例的診斷盤的操作方法的流程圖。
圖5是根據本揭示案的實施例的計算系統的操作方法的流程圖。
圖6A圖示了根據本揭示案的示例性實施例的被放置在處理腔室的靜電吸盤(ESC)的晶圓升降銷上的診斷盤的側橫截面視圖。
圖6B是根據本揭示案的示例性實施例的圖6A的診斷盤的一部分的分解視圖,其中高解析度相機捕捉邊緣和支撐環的感測器資料。
圖6C是根據本揭示案的示例的圖6A的診斷盤的一部分的分解視圖,其中非接觸感測器捕捉邊緣和支撐環的感測器資料。
圖7A圖示了根據本揭示案的一個實施例的圍繞靜電吸盤的邊緣環和支撐環的來自本文揭露的非接觸感測器之其中一者的頂部平面視圖。
圖7B圖示了根據本揭示案的一個實施例的具有放置於其上的複數個非接觸感測器的診斷盤及從非接觸感測器到下方的腔室部件的視線的透視視圖。
圖8是根據本揭示案的實施例的可作為用於電子裝置處理系統操作的控制器的範例計算裝置。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
730A:第一相機
730B:第二相機
730C:第三相機
730D:第四相機

Claims (20)

  1. 一種診斷盤,包括: 一盤形主體,該盤形主體包括升高壁,該等升高壁環繞該盤形主體的一內部,其中該盤形主體的該等升高壁界定該盤形主體的一空腔; 至少一個突出部,該至少一個突出部從該盤形主體向外延伸; 一非接觸感測器,該非接觸感測器附接至該至少一個突出部之每一者; 一印刷電路板(PCB),將該PCB放置於該盤形主體上的該空腔內; 電路系統,該電路系統設置於該PCB上且耦合至每一非接觸感測器,該電路系統包括至少一無線通訊電路及一記憶體; 一功率源,該功率源設置於該PCB上; 一無線充電器,該無線充電器設置於該PCB上;及 一蓋,該蓋放置於該盤形主體上的該空腔上方,其中該蓋將該PCB、該電路系統、該功率源、及該無線充電器在該空腔內的至少部分與一外部環境屏蔽。
  2. 如請求項1所述之診斷盤,進一步包括一照明部件,該照明部件附接至該至少一個突出部之每一者,其中設置於該PCB上的該電路系統進一步耦合至每一照明部件。
  3. 如請求項1所述之診斷盤,其中該至少一個突出部包括從該盤形主體大致水平延伸的四個突出部,繞著該盤形主體且大致垂直於該盤形主體的一圓周來放置該四個突出部。
  4. 如請求項3所述之診斷盤,其中該盤形主體包括在該圓周上的一第一位置處的一凹口,其中以從該凹口的該第一位置約170度至180度的一角度來放置該四個突出部的一第一突出部,其中以從該凹口的該第一位置約225度至235度的一角度來放置該四個突出部的一第二突出部,其中以從該凹口的該第一位置約295度至305度的一角度來放置該四個突出部的一第三突出部,且其中以從該凹口的該第一位置約55度至65度的一角度來放置該四個突出部的一第四突出部。
  5. 如請求項4所述之診斷盤, 其中該盤形主體的一直徑為約310 mm至約320mm; 其中放置該第一突出部上的一第一非接觸感應器與該盤形主體的一外周邊相距約295 mm至約305 mm;及 其中放置個別位於該第二突出部、該第三突出部、及該第四突出部之每一者上的一第二非接觸感測器、一第三非接觸感測器、及一第四非接觸感測器之每一者與該盤形主體的該外周邊相距約310 mm至約320 mm。
  6. 如請求項1所述之診斷盤,其中該盤形主體及該蓋由聚醚醚酮(PEEK)或一鋁合金之其中至少一者構成。
  7. 如請求項1所述之診斷盤,進一步包括: 一塗層,該塗層在該盤形主體及該蓋上,其中該塗層具有範圍從約4 µin至約16 µin的一表面粗糙度光潔度。
  8. 如請求項7所述之診斷盤,其中該塗層包括陽極氧化鋁、一鋁合金或氧化釔之其中至少一者。
  9. 如請求項1所述之診斷盤,具有高至約9 mm的一高度。
  10. 如請求項1所述之診斷盤,其中該非接觸感測器包括一深度相機,該深度相機具有約25 mm至約45 mm的一焦點深度。
  11. 如請求項1所述之診斷盤,進一步包括: 複數個運動耦合介面,該複數個運動耦合介面在該盤形主體的一底部中,其中該複數個運動耦合介面經配置以在一處理腔室中與一基板支撐組件的配準特徵接合,且其中該複數個運動耦合介面與該等配準特徵的接合使該診斷盤在該處理腔室中達成一目標位置及一目標定向。
  12. 一種方法,包括以下步驟: 在將一診斷盤放置進入一處理腔室之前或之後,藉由該診斷盤使用該診斷盤的一無線通訊電路來建立與一計算系統的一安全無線連接; 藉由該診斷盤的至少一個非接觸感測器來產生設置於該處理腔室內的一部件的感測器資料; 將該感測器資料儲存於該診斷盤的一記憶體中; 使用該無線通訊電路將該感測器資料無線傳送至該計算系統; 終止與該計算系統的該安全無線連接;及 從該診斷盤的該記憶體清除該感測器資料。
  13. 如請求項12所述之方法,其中該感測器資料包括藉由該計算系統分析的影像資料以決定該部件的對準、同心度、清潔度、或腐蝕度之其中至少一者。
  14. 如請求項12所述之方法,其中在該診斷盤在該處理腔室中時,在真空或攝氏-20度至攝氏120度的一溫度之其中至少一者中執行該感測器資料的該產生步驟。
  15. 如請求項12所述之方法,其中該部件為以下至少一者:一處理套件環、一單環、一基板支撐組件、一靜電吸盤(ESC)、一腔室壁、一基底、一氣體管線、一氣體分配板、一面板、一噴頭、一噴嘴、一蓋、一襯墊、一襯墊套件、一屏蔽、一電漿屏、一遠端電漿源、一流量等化器、一冷卻基底、一腔室視口、或一腔室蓋。
  16. 一種方法,包括以下步驟: 藉由一計算系統來建立與一診斷盤的一無線連接; 使一傳送腔室內的一機械臂將該診斷盤放置進入一處理腔室; 使用該診斷盤的一個或更多個非接觸感測器使該診斷盤產生該處理腔室的一部件的感測器資料; 藉由該計算系統來從該診斷盤經由該無線連接接收該感測器資料; 藉由該計算系統來分析該感測器資料以決定該部件的對準、同心度、清潔度、或腐蝕度之其中至少一者;及 回應於決定以下至少一者: 該對準或同心度偏斜,啟動該部件的該對準或同心度的自動校正; 該清潔度達到一污染臨界值,啟動該部件的自動清潔;或 該腐蝕度達到一壽命終止臨界值,啟動該部件的自動更換。
  17. 如請求項16所述之方法,進一步包括以下步驟: 基於該處理腔室的操作的一小時數,來決定該處理腔室中的該部件進行一診斷掃描; 使該診斷盤從一儲存區域傳送進入一基板處理系統的一裝載鎖,該基板處理系統包括該傳送腔室;及 使該傳送腔室內的該機械臂從該裝載鎖收回該診斷盤。
  18. 如請求項16所述之方法,其中該診斷盤包括在該診斷盤的一底部處的複數個運動耦合介面,該方法進一步包括以下步驟: 使該處理腔室中的一基板支撐組件的複數個升降銷升高,其中該診斷盤的該複數個運動耦合介面與該複數個升降銷接合,使該診斷盤具有一目標位置及一目標定向;及 降低該複數個升降銷以將該診斷盤設定在該基板支撐組件上。
  19. 如請求項16所述之方法,其中該診斷盤在設置於該機械臂的一葉片上且在該處理腔室內時產生該感測器資料,該方法進一步包括以下步驟: 決定該對準或同心度之其中至少一者未偏斜、該清潔度未達到該污染臨界值、或該腐蝕度未達到該壽命終止臨界值; 決定不需要額外的維護;及 使該診斷盤移動回到一儲存區域。
  20. 如請求項16所述之方法, 其中該感測器資料包括影像資料,且其中分析該感測器資料包括以下步驟:應用一影像處理演算法或一經訓練機器學習模型之其中一者至該感測器資料以決定該部件的該對準、同心度、清潔度、或腐蝕度之其中至少一者。
TW110119638A 2020-06-02 2021-05-31 具有耐高真空和溫度的功率源的診斷盤 TW202213564A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/890,008 US11924972B2 (en) 2020-06-02 2020-06-02 Diagnostic disc with a high vacuum and temperature tolerant power source
US16/890,008 2020-06-02

Publications (1)

Publication Number Publication Date
TW202213564A true TW202213564A (zh) 2022-04-01

Family

ID=78704630

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110119638A TW202213564A (zh) 2020-06-02 2021-05-31 具有耐高真空和溫度的功率源的診斷盤

Country Status (5)

Country Link
US (2) US11924972B2 (zh)
KR (1) KR20230016224A (zh)
CN (1) CN116097412A (zh)
TW (1) TW202213564A (zh)
WO (1) WO2021247573A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020155489A (ja) * 2019-03-18 2020-09-24 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6239590B1 (en) * 1998-05-26 2001-05-29 Micron Technology, Inc. Calibration target for calibrating semiconductor wafer test systems
US7127362B2 (en) 2000-08-22 2006-10-24 Mundt Randall S Process tolerant methods and apparatus for obtaining data
US7757574B2 (en) 2002-01-24 2010-07-20 Kla-Tencor Corporation Process condition sensing wafer and data analysis system
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US20050224899A1 (en) 2002-02-06 2005-10-13 Ramsey Craig C Wireless substrate-like sensor
US20050011611A1 (en) 2002-07-12 2005-01-20 Mahoney Leonard J. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7135852B2 (en) 2002-12-03 2006-11-14 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US8600150B2 (en) 2006-02-13 2013-12-03 Samsung Electronics Co., Ltd. Wafer aligning apparatus and related method
US7659734B2 (en) * 2007-03-07 2010-02-09 Qcept Technologies, Inc. Semiconductor inspection system and apparatus utilizing a non-vibrating contact potential difference sensor and controlled illumination
US8260461B2 (en) 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
US8224607B2 (en) 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
SG187402A1 (en) 2007-12-27 2013-02-28 Lam Res Corp Systems and methods for calibrating end effector alignment in a plasma processing system
US9222842B2 (en) 2013-01-07 2015-12-29 Kla-Tencor Corporation High temperature sensor wafer for in-situ measurements in active plasma
US9111979B2 (en) 2013-05-16 2015-08-18 Kevin P Fairbairn System and method for real time positioning of a substrate in a vacuum processing system
JP6383647B2 (ja) 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10041868B2 (en) 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
US10014198B2 (en) 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
WO2017196540A1 (en) 2016-05-13 2017-11-16 Applied Materials, Inc. Sensor based auto-calibration wafer
US10460966B2 (en) 2016-06-15 2019-10-29 Kla-Tencor Corporation Encapsulated instrumented substrate apparatus for acquiring measurement parameters in high temperature process applications
US20180033673A1 (en) 2016-07-26 2018-02-01 Applied Materials, Inc. Substrate support with in situ wafer rotation
US10181609B2 (en) 2017-01-16 2019-01-15 Honeywell International Inc. Power source with wireless capability
JP6966286B2 (ja) 2017-10-11 2021-11-10 東京エレクトロン株式会社 プラズマ処理装置、フォーカスリングの昇降制御方法およびフォーカスリングの昇降制御プログラム
JP7055054B2 (ja) 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP7170422B2 (ja) 2018-05-15 2022-11-14 東京エレクトロン株式会社 処理装置
TWI670882B (zh) 2018-06-15 2019-09-01 沈明東 一種鋰離子二次電池
US11271930B2 (en) 2018-07-02 2022-03-08 Mastercard International Incorporated System architecture and database for context-based authentication
US11913777B2 (en) 2019-06-11 2024-02-27 Applied Materials, Inc. Detector for process kit ring wear
KR20220041171A (ko) 2019-07-26 2022-03-31 램 리써치 코포레이션 자동화된 웨이퍼 핸들링 로봇 학습 및 건전성 검사 (health check) 를 위한 통합된 적응형 포지셔닝 시스템들 및 루틴들
KR20220039792A (ko) 2019-07-29 2022-03-29 램 리써치 코포레이션 카메라 웨이퍼를 사용한 페데스탈 셋업
CN112885694A (zh) 2019-11-29 2021-06-01 东京毅力科创株式会社 夹具、处理系统及处理方法
JP7467152B2 (ja) 2020-02-13 2024-04-15 東京エレクトロン株式会社 収容容器及び基板状センサの充電方法
US11589474B2 (en) 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source

Also Published As

Publication number Publication date
KR20230016224A (ko) 2023-02-01
US20210378100A1 (en) 2021-12-02
CN116097412A (zh) 2023-05-09
US20240023246A1 (en) 2024-01-18
WO2021247573A1 (en) 2021-12-09
US11924972B2 (en) 2024-03-05

Similar Documents

Publication Publication Date Title
TW202215916A (zh) 具有耐高真空和耐高溫的功率源的診斷盤
TWI784283B (zh) 用於確定處理套件環損耗的檢測器及設備及其操作方法
US10665490B2 (en) Apparatus and methods for edge ring replacement, inspection and alignment using image sensors
US20240023246A1 (en) Diagnostic disc with a high vacuum and temperature tolerant power source
KR101163237B1 (ko) 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법
TWI829527B (zh) 處理系統對準器站之校正
US20220028716A1 (en) Substrate measurement subsystem
EP4186093A1 (en) Integrated substrate measurement system to improve manufacturing process performance
US20240022083A1 (en) Enclosure system with charging assembly
US20230413446A1 (en) Diagnostic disc with a high vacuum and temperature tolerant power source
KR20170142924A (ko) 피처리 기판의 탑재 위치의 설정 방법 및 성막 시스템
WO2022239800A1 (ja) パーティクルモニタシステム、パーティクルモニタ方法およびモニタ装置
US20090237496A1 (en) Substrate for observation and observation system