TW202137557A - 具有鰭片堆疊隔離之閘極全包圍式積體電路結構 - Google Patents

具有鰭片堆疊隔離之閘極全包圍式積體電路結構 Download PDF

Info

Publication number
TW202137557A
TW202137557A TW109139971A TW109139971A TW202137557A TW 202137557 A TW202137557 A TW 202137557A TW 109139971 A TW109139971 A TW 109139971A TW 109139971 A TW109139971 A TW 109139971A TW 202137557 A TW202137557 A TW 202137557A
Authority
TW
Taiwan
Prior art keywords
sub
fin
integrated circuit
gate
isolation
Prior art date
Application number
TW109139971A
Other languages
English (en)
Inventor
連納德 P 居萊爾
史蒂芬 席德
比斯瓦吉特 古哈
威廉 許
尤魯沙 阿倫
泰希爾 迦尼
麥可 K 哈波
維韋克 席爾塔
周曙
尼特西 庫瑪
Original Assignee
美商英特爾公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾公司 filed Critical 美商英特爾公司
Publication of TW202137557A publication Critical patent/TW202137557A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1091Substrate region of field-effect devices of charge coupled devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

描述具有鰭片堆疊隔離之閘極全包圍式積體電路結構,以及製造具有鰭片堆疊隔離之閘極全包圍式積體電路結構之方法。例如,積體電路結構包括一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁。一隔離結構係在該子鰭片結構之該頂部上及沿著該等側壁處。該隔離結構包括一第一介電材料,其包圍一第二介電材料之區域。水平奈米線之一垂直配置係在該隔離結構於該子鰭片結構之頂部表面上的一部分上。

Description

具有鰭片堆疊隔離之閘極全包圍式積體電路結構
本揭露內容之實施例係於積體電路結構及加工之領域中,特別是,具有鰭片堆疊隔離之閘極全包圍式積體電路結構,以及製造具有鰭片堆疊隔離之閘極全包圍式積體電路結構的方法。
過去幾十年,積體電路中特徵之按比例調整已經是不斷成長的半導體工業背後的驅動力。按比例調整至越來越小的特徵使得在半導體晶片的有限佔地上能夠增加功能單元的密度。舉例而言,收縮電晶體尺寸允許晶片上併入之記憶體或邏輯裝置的數目增加,而提高產品製造能力。然而,不斷更多產能的驅動力並非沒有問題。將每一裝置的性能進行最佳化之必要性變得越來越重要。
在積體電路裝置之製造中,因為裝置尺寸持續按比例縮小,諸如三閘極電晶體之多閘極電晶體已變得更盛行。在習知製程中,三閘極電晶體通常在大塊矽基體或絕緣體上矽基體上製造。在一些情況下,大塊矽基體由於其較低成本以及因為其能夠有較不複雜的三閘極製造製程而受喜好。在另一態樣中,當微電子裝置尺寸按比例調整到低於10奈米(nm)節點時,維持移動性改善及短通道控制,在裝置製造上提供了挑戰。用於製造裝置之奈米線提供了改良的短通道控制。
然而,按比例調整多閘極電晶體及奈米線電晶體尚無結果。隨著微電子電路系統之這些基本構建塊的尺寸減小,並且隨著特定區域中所製作之基本構建塊的總數增加,圖案化這些構建塊之微影程序上的限制已成為壓倒性的。特定言之,在半導體堆疊(關鍵尺寸)中圖案化之特徵的最小尺寸與此等特徵間的間隔之間可存在一折衷。
於本發明的一個態樣中,揭示一種積體電路結構,其包含:一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁;一隔離結構,其在該子鰭片結構之該頂部上及沿著該子鰭片結構之該等側壁處,其中該隔離結構包含一第一介電材料,其包圍一第二介電材料之區域;以及水平奈米線之一垂直配置,其係在該隔離結構位於該子鰭片結構之該頂部表面上的一部分上。
描述具有鰭片堆疊隔離之閘極全包圍式積體電路結構,以及製造具有鰭片堆疊隔離之閘極全包圍式積體電路結構之方法。在以下描述中,闡述諸如特定整合及材料方案之眾多特定細節,以便提供對本揭露內容之實施例的全然理解。所屬技術領域中具有通常知識者可瞭解的是,本揭露內容之實施例可在沒有這些特定細節之情形下實施。在其他情形中,諸如積體電路設計佈局之習知特徵未詳細描述,以免非必要地模糊本揭露內容之實施例。此外,應瞭解的是,圖式中所示之各種實施例為例示性表示且未必按比例繪製。
某些命名法亦可在以下描述中僅出於參考之目的而使用,且因此非意欲為限制性的。舉例而言,諸如「上部」、「下部」、「上方」及「下方」之用語係指在所參考的圖式中的方向。諸如「前」、「後」、「後面」、及「側面」之用語係描述組件之部分在一個一致但任意的參考框架內的指向及/或位置,其係藉由參照描述討論中的組件之內文及相關圖式而為明確。此命名法可包括以上具體提及之字詞、其衍生詞以及類似含義之字詞。
本文描述的實施例可指向前段製程(FEOL)半導體加工及結構。FEOL為積體電路(IC)製造的第一部分,其中個別裝置(例如電晶體、電容器、電阻器等)係在半導體基體或層中被圖案化。FEOL一般涵蓋直到(但不包括)金屬互連層沉積的所有程序。於最後的FEOL操作之後,結果典型為具獨立電晶體的晶圓(例如,不具任何導線)。
本文所描述之實施例可指向後段製程(BEOL)半導體加工及結構。BEOL為IC製造之第二部分,其中將個別裝置(例如,電晶體、電容器、電阻器等)與晶圓上如金屬層之佈線互連。BEOL包括用於晶片至封裝體連接之接點、絕緣層(介電質)、金屬層級、及接合點。在製造階段之BEOL部分中,形成接點(襯墊)、互連線、通孔及介電結構。對於現代IC製程,可在BEOL中添加多於10個金屬層。
下文描述的實施例可適用於FEOL加工及結構、BEOL加工及結構、或者FEOL與BEOL兩者之加工及結構。特別是,儘管可使用一FEOL加工情境說明示例性加工體系,但此等方案亦可適用於BEOL加工。同樣地,儘管可使用一BEOL加工情境說明示例性加工體系,但此等方案亦可適用於FEOL加工。
本文中所描述之一或多個實施例係針對用於奈米線(NW)及/或奈米帶(NR)電晶體之鰭片堆疊隔離、或局部隔離、方案。實施例可被實現來在閘極堆疊圖案化之前提供閘極全包圍式鰭片堆疊隔離,其中一些實施例使能有一自對準閘極端蓋(SAGE)架構。引述奈米線之實施例,除非特定陳述為針對唯奈米線尺寸,否則可涵蓋尺寸化為線或帶之導線奈米線。
為提供進一步情境,用於阻擋或抑制在一奈米線裝置下方穿過半導體結構(諸如子鰭片結構)的源極至汲極洩漏之現行技藝解決方案,包括子鰭片摻雜及/或實體增加奈米線/奈米帶與下伏基體結構之間的一間隙。然而,兩種方案均與增加的程序複雜性及/或唯最小益處相關聯。
在一第一態樣中,本文所描述之方案涉及錨定鰭片,及接著選擇性地移除一最底部SiGe層釋放層,以便將一導線或帶狀堆疊與一基體或該基體之子鰭片隔離。實現此一方案之優點可包括較短鰭片高度要求、較大凹部製程窗(例如,可使用較高底部SiGe)、及針對一較厚襯墊層所提供的更多空間。
在一第二態樣中,本文所描述之方案使能利用具有閘極全包圍式(GAA)架構之SAGE架構。在錨定鰭片及接著選擇性移除最底部SiGe層之後,執行一SAGE壁形成製程,以生成圍繞隔離導線或帶狀堆疊的一SAGE壁。
作為製造一具有局部隔離之一閘極全包圍式裝置的一第一示例性程序流程,圖1A-1Q根據本揭露內容之一實施例,描繪表示在製造一具有鰭片堆疊隔離之閘極全包圍式積體電路結構之方法中的各種操作的橫截面圖。
參看圖1A,一起始堆疊100包括在諸如矽基體之一基體102上方的一鰭片結構104。鰭片結構104在一子鰭片結構106上方,諸如一矽子鰭片結構,其可與基體102為連續。在一實施例中,鰭片結構104包括在一最下釋放或犧牲層108(諸如可較釋放或犧牲層112之每一者更厚的一矽鍺層)上之交替奈米線層110(諸如矽奈米線層)及釋放或犧牲層112(諸如矽鍺層)。介電蓋體114可與鰭片結構104包括在一起。可藉由使用一鰭片圖案化遮罩蝕刻毯覆層來製造該結構。
參看圖1B,一第一介電襯墊116形成於圖1A之結構上方。一第二介電襯墊118形成於該第一介電襯墊116上方,如圖1C中所描繪。
參看圖1D,一第三介電襯墊120形成於該第二介電襯墊118上方。一犧牲硬遮罩材料122,諸如一碳硬遮罩材料,被形成在圖1D之結構上方,如圖1E中所描繪。
參看圖1F,一介電蓋體124,諸如氧化或二氧化矽蓋體,係形成於該犧牲硬遮罩材料122上方。光柵遮罩126接著藉由微影圖案化而形成於介電蓋體124上,如圖1G中所描繪。
參看圖1H,光柵遮罩126用於一蝕刻製程中,以圖案化介電蓋體124、犧牲硬遮罩材料122及第三介電襯墊120,以形成圖案化介電蓋體124'、圖案化犧牲硬遮罩材料122'及圖案化第三介電襯墊120',且重新暴露第二介電襯墊118之部分。一襯墊128,諸如氮化鈦襯墊,接著形成於圖1H之結構上,如圖1I中所描繪。
參看圖1J,使用襯墊128之一襯墊穿透蝕刻,諸如氮化鈦襯墊穿透蝕刻,以形成圖案化襯墊128'。使用該圖案化襯墊128'作為一遮罩,該圖案化犧牲硬遮罩材料122'接著被蝕刻,以形成雙重圖案化犧牲硬遮罩材料122'',如在圖1K中所描繪。
參看圖1L,雙重圖案化犧牲硬遮罩材料122''在一蝕刻製程中用作一遮罩來進一步將圖案化第三介電襯墊120'圖案化,以形成雙重圖案化第三介電襯墊120''。雙重圖案化第三介電襯墊120''隨後在一蝕刻製程中用作一遮罩來移除第一介電襯墊116及第二介電襯墊118之暴露部分,且暴露最下釋放或犧牲層108及子鰭片結構106,如圖1M中所描繪。
參看圖1N,例如藉由一選擇性濕式蝕刻製程,自圖1M之結構選擇性移除最下釋放或犧牲層108,以形成經修改鰭片堆疊104'。諸如可由一原子層沉積製程形成之氧化矽材料的一介電材料130經形成於圖1N之結構上方,如在圖1O中所描繪。
參看圖1P,諸如氧化矽材料之介電填充材料132係形成於圖1O之結構上方,且充填介電材料130中之任何空腔或開口。然後,凹陷化介電材料130及介電填充材料132,以形成凹陷化介電材料130'及凹陷化介電填充材料132',如圖1Q中所描繪。在一實施例中,第一介電襯墊116在凹陷化期間重新暴露,如所描繪者。
在一實施例中,凹陷化介電材料130'及凹陷化介電填充材料132'提供了用以將經修改鰭片堆疊104'與子鰭片結構106隔離的一局部隔離結構。在此階段,在經修改鰭片堆疊104'與子鰭片結構106隔離之下,後續加工可包括自該經修改鰭片堆疊104'移除剩餘的介電襯墊、自該經修改鰭片堆疊104'釋放奈米線、以及在所得奈米線上方形成閘極結構,以下描述示例性程序。
再次參看圖1Q,根據本揭露內容之一實施例,一積體電路結構包括在一基體102上之一子鰭片結構106、該子鰭片結構106具有一頂部及側壁。一隔離結構係在該子鰭片結構106之頂部上及沿著側壁處。隔離結構包括一第一介電材料130',其包圍一第二介電材料132'之區域。水平奈米線(經修改鰭片堆疊104'之奈米線)之一垂直配置係於子鰭片結構106之頂部表面上的隔離結構之一部分上。
在一實施例中,沿著子鰭片結構106之側壁的隔離結構之一部分具有一頂部表面,其在該子鰭片結構106該頂部表面上的隔離結構部分之頂部表面的上方,如圖1Q中所描繪。在另一實施例中,沿著子鰭片結構106之側壁的隔離結構之一部分具有一頂部表面,其與該子鰭片結構106之該頂部表面上的隔離結構部分之頂部表面為共面。在一實施例中,沿著子鰭片結構106之側壁的隔離結構之一部分具有一頂部表面,其在該子鰭片結構106之該頂部表面上的隔離結構部分之頂部表面的下方。
在一實施例中,一積體電路結構進一步包括一閘極堆疊,其包圍水平奈米線之該垂直配置之一通道區,其示例性結構係在以下更詳細地描述。在一此等實施例中,閘極堆疊包括一高k閘極介電層及一金屬閘極電極。
在一實施例中,積體電路結構進一步包括在該水平奈米線垂直配置之第一及第二端處的一對非分離的磊晶源極或汲極結構,以下更詳細說明其例性結構。在另一實施例中,積體電路結構進一步包括在該水平奈米線垂直配置之第一及第二端處的一對分離的磊晶源極或汲極結構,以下更詳細說明其例性結構。
作為製造一具有局部隔離之一閘極全包圍式裝置的一第二示例性程序流程,圖2A-2K根據本揭露內容之另一實施例,描繪表示在製造一具有鰭片堆疊隔離之閘極全包圍式積體電路結構之另一方法中的各種操作的橫截面圖。
參看圖2A,一起始堆疊200包括在諸如矽基體之一基體202上方的一鰭片結構204。鰭片結構204在一子鰭片結構206上方,諸如一矽子鰭片結構,其可與基體202為連續。在一實施例中,鰭片結構204包括在一最下釋放或犧牲層208(諸如可較釋放或犧牲層212之每一者更厚的一矽鍺層)上之交替奈米線層210(諸如矽奈米線層)及釋放或犧牲層212(諸如矽鍺層)。介電蓋體214可與鰭片結構204包括在一起。可藉由使用一鰭片圖案化遮罩蝕刻毯覆層來製造該結構。
參看圖2B,一間隔件介電質220形成於圖2A之結構上方。一犧牲硬遮罩材料222A,諸如一碳硬遮罩材料,被形成在圖2B之結構上方。一介電蓋體222B,諸如氧化或二氧化矽蓋體,係形成於該犧牲硬遮罩材料222A上方。光柵遮罩222C接著藉由微影圖案化而形成於介電蓋體222B上,如圖2C中所描繪。
參看圖2D,光柵遮罩222C用於一蝕刻程序中,以圖案化介電蓋體222B、及凹陷化犧牲硬遮罩材料222A,以形成圖案化介電蓋體222B'及圖案化犧牲硬遮罩材料222A',並且接著移除光柵遮罩222C。一襯墊224,諸如氮化鈦襯墊,係接著形成於圖2D之結構上,如圖2E中所描繪。
參看圖2F,使用襯墊224之一襯墊穿透蝕刻,諸如氮化鈦襯墊穿透蝕刻,以形成圖案化襯墊224'。使用該圖案化襯墊224'作為一遮罩,該圖案化犧牲硬遮罩材料222A'接著被移除,如在圖2G中所描繪。
參看圖2H,使用圖案化襯墊224'作為一遮罩,蝕刻間隔件介電質220以形成圖案化間隔件介電質220'。例如藉由一選擇性濕式蝕刻程序,自圖2H之結構選擇性移除最下釋放或犧牲層208,以在空腔230上方形成經修改鰭片堆疊204',如在圖2I中所描繪。
參看圖2J,介電材料231、232及234係相繼形成於圖2I之結構之開口中。圖案化間隔件介電質220'接著被移除及/或凹陷化以形成雙重圖案化間隔件介電質220'',如圖2K中所描繪。剩餘的雙重圖案化間隔件介電質220''及介電材料231、232及234共同提供一鰭片堆疊隔離結構及一自對準閘極端蓋(SAGE)壁。
在此階段,在經修改鰭片堆疊204'與子鰭片結構206隔離之下,後續加工可包括自該經修改鰭片堆疊204'移除剩餘的介電襯墊、自該經修改鰭片堆疊204'釋放奈米線、以及在所得奈米線上方及鄰近SAGE壁處形成閘極結構,以下描述示例性程序。
再次參看圖2K,根據本揭露內容之一實施例,一積體電路結構包括在一基體202上之一子鰭片結構206、該子鰭片結構206具有一頂部及側壁。一隔離結構(由231、232、220"之部分所形成)係在該子鰭片結構206之頂部上及沿著側壁處。水平奈米線210之一垂直配置係位在該隔離結構上且垂直地位於子鰭片結構206之頂部表面上方。一閘極端蓋結構(由232及234之部分所形成)係與水平奈米線210之垂直配置平行。閘極端蓋結構之一部分係與隔離結構在垂直方向上介於子鰭片結構206與水平奈米線210之垂直配置之間的一部分連續。
在一實施例中,閘極端蓋結構包括在該閘極端蓋結構之部分232上的一上部部分234,該上部部分與隔離結構在垂直方向上介於子鰭片結構206與水平奈米線210之垂直配置之間的部分232連續。在一實施例中,隔離結構沿著子鰭片結構206之側壁中之第一側壁的部分220''與隔離結構沿著子鰭片結構206之側壁中之第二側壁的部分232不連續。
在一實施例中,一積體電路結構進一步包括一閘極堆疊,其包圍水平奈米線210之垂直配置之一通道區,其示例性結構在以下更詳細地描述。在一此等實施例中,該閘極堆疊包括一高k閘極介電層及一金屬閘極電極。
在一實施例中,積體電路結構進一步包括在水平奈米線210之垂直配置之第一及第二端處的一對非分離的磊晶源極或汲極結構,其示例性結構在以下更詳細地描述。在另一實施例中,積體電路結構進一步包括在水平奈米線210之垂直配置之第一及第二端處的一對分離的磊晶源極或汲極結構,其示例性結構在以下更詳細地描述。
作為無鰭片堆疊隔離的一示例性裝置,圖3例示一比較性閘極全包圍式積體電路結構的橫截面圖。
參看圖3,積體電路結構300包括一半導體基體302,具有自其突出的一鰭片304。基體302可為一大塊矽基體,且鰭片304可為奈米線或奈米帶裝置之子鰭片結構。如所描繪者,奈米線或奈米帶306係在該鰭片304上方且可堆疊為水平奈米線或奈米帶之一垂直配置。閘極堆疊308包圍奈米線或奈米帶306之通道區。該閘極堆疊包括一閘極電極308A及一閘極介電層308B。源極或汲極結構310係在該閘極堆疊308之任一側上。一對介電間隔件312係在該等源極或汲極結構310與該閘極堆疊308之間。 源極或汲極接點314係在源極或汲極結構310上。
積體電路結構300可具有一關聯基體302或子鰭片304自源極至汲極(例如,自左側312至右側312)洩漏路徑320。該洩漏路徑320可為一寄生傳導路徑且導致相對較差之裝置特性。
比對之下,作為具有鰭片堆疊隔離的一示例性裝置,圖4根據本揭露內容之一實施例例示具有鰭片堆疊隔離之一閘極全包圍式積體電路結構的橫截面圖。
參看圖4,一積體電路結構400包括在一局部隔離結構499上方的水平奈米線406之一垂直配置。在一實施例中,局部隔離結構499係根據諸如關聯於圖1A-1Q或2A-2K所述之方法來製造。一閘極堆疊408A/408B包圍水平奈米線406之垂直配置的一通道區,且可或可不位於最底部奈米線下方。一對非分離的磊晶源極或汲極結構410係在水平奈米線406之垂直配置的第一端及第二端處。一對介電間隔件412係在該對非分離的磊晶源極或汲極結構410與閘極堆疊408A/408B之間。在一實施例中,該對介電間隔件412與該閘極堆疊408A/408B具有共面的頂部表面,例如在表面420處。在一實施例中,該對介電間隔件412、該局部隔離結構499及該對非分離的磊晶源極或汲極結構410具有共面的底部表面,例如在表面430處。
在一實施例中,為了改善裝置效能,局部隔離結構499之含納阻擋或消除了寄生傳導路徑(例如,自源極410至汲極410)。亦即,積體電路結構400可極少或不與子鰭片或基體洩漏路徑450相關聯。
在一實施例中,該對非分離的磊晶源極或汲極結構410中之一者或兩者具有在其上的介電材料(在一實施例中由414表示)。在一此等實施例中,該介電材料414、該對介電間隔件412及該閘極堆疊408A/408B具有共面的頂部表面,如在表面420處所描繪的。在一實施例中,一介電層或蝕刻終止層416係位於該表面420上。
在一實施例中,該對非分離的磊晶源極或汲極結構410中之一者或兩者具有在其上的一頂部傳導接點(在一實施例中由414表示)。在一此等實施例中,其中該頂部傳導接點414、該對介電間隔件412及該閘極堆疊408A/408B具有共面的頂部表面,如在表面420處所描繪的。在一實施例中,該對非分離的磊晶源極或汲極結構410中之一者或兩者具有在其上的一底部傳導接點,例如在位置440之一或二者處。在一實施例中,閘極堆疊408A/408B包括一高k閘極介電層408B及一金屬閘極電極408A。在一此等實施例中,該金屬閘極電極408A之一底部表面具有在其上的高k閘極介電層408B,例如在位置408C處。
應瞭解的是,在特定實施例中,奈米線(或奈米帶)之通道層可由矽組成。替代地,奈米線釋放層或犧牲層可由矽組成。在任一情況下,如全文所使用,一矽層可用來描述由非常大量之矽(若非全部)組成之矽材料。然而,應瞭解的是,實際上,100%純Si可能難以形成,且因此可包括微小百分比之碳、鍺或錫。此等雜質可作為在Si之沉積期間一無可避免的雜質或組件而被包括、或者在後沉積加工期間可能在擴散時「污染」Si。因此,本文針對矽層所描述之實施例可包括含有相對小量,例如「雜質」程度,諸如Ge、C或Sn之非Si原子或物種的一矽層。應瞭解的是,如本文所描述之矽層可為未摻雜或可經諸如硼、磷或砷之摻雜原子所摻雜。
應瞭解的是,在一特定實施例中,奈米線(或奈米帶)之通道層可由矽鍺組成。替代地,奈米線釋放層或犧牲層可由矽鍺組成。在任一狀況下,如全文所使用,一矽鍺層可用來描述一矽鍺材料,其由具矽及鍺兩者之實質部分所組成,諸如至少5%之兩者。在一些實施例中,鍺之量大於矽之量。在特定實施例中,一矽鍺層包括大約60%鍺及大約40%矽(Si40 Ge60 )。在其他實施例中,矽之量大於鍺之量。在特定實施例中,一矽鍺層包括大約30%鍺及大約70%矽(Si70 Ge30 )。應瞭解的是,實際上,100%純矽鍺(通常稱SiGe)可能難以形成,且因此可包括微小百分比之碳或錫。此等雜質作為在SiGe之沉積期間一無可避免的雜質或組件而可被包括、或者可能在後沉積加工期間在擴散時「污染」SiGe。因此,本文針對矽鍺層所描述之實施例可包括含有相對小量,例如「雜質」等級,諸如碳或錫之非Ge且非Si原子或物種的一矽鍺層。應瞭解的是,如本文所描述之矽鍺層可為未摻雜或可經諸如硼、磷或砷之摻雜原子所摻雜。
應瞭解的是,在一特定實施例中,奈米線(或奈米帶)之通道層可由鍺組成。替代地,奈米線釋放層或犧牲層可由鍺組成。在任一情況下,如全文所使用,一鍺層可用來描述由非常大量之鍺(若非全部)組成之鍺材料。然而,應瞭解的是,實際上,100%純Ge可能難以形成,且因此可包括微小百分比之碳、矽或錫。此等雜質可作為在Ge之沉積期間一無可避免的雜質或組件而被包括、或者可能於後沉積加工期間在擴散時「污染」Ge。因此,本文針對鍺層所描述之實施例可包括含有相對小量,例如「雜質」等級,諸如Si、C或Sn之非Ge原子或物種的一鍺層。應瞭解的是,如本文所描述之矽層可為未摻雜或可經諸如硼、磷或砷之摻雜原子所摻雜。
應瞭解的是,本文所描述之實施例亦可包括其他實現態樣,諸如具有各種寬度、厚度及/或包括但不限於Si、Ge、SiGe及/或III-V族之材料的奈米線及/或奈米帶。以下所述為可用來製造具有一經移除之半導體基體之一裝置的各種裝置及加工體系。應瞭解的是,示例性實施例不必然需要描述所有的特徵,或者可包括比所描述者更多之特徵。
在另一態樣中,可通過一替換閘極溝槽進行奈米線釋放加工。以下描述此等釋放製程之範例。另外,在又另一態樣中,後端(BE)互連件縮放可由於圖案化複雜度而導致較低效能及較高製造成本。本文所描述之實施例可經實現而使能獲得奈米線電晶體之前端及後端互連件整合。本文所描述之實施例可提供一種用以達到一相對較寬之互連件間距的方法。結果為經改善的產品效能及較低的圖案化成本。實施例可經實現而使能獲得具有低功率及高效能之經縮放奈米線或奈米帶電晶體的穩健功能性。
在另一方面,本文所描述的積體電路結構可使用一前側結構製造方案的一背側顯露法來製造。在一些示例性實施例中,一電晶體或其他裝置結構之背側的顯露會需要晶圓級背側加工。對比於習知的TSV型技術,本文所描述之電晶體背側顯露得以裝置胞元之密度、且甚至在裝置之子區域內執行。此外,可進行此一電晶體背側顯露以移除大體上所有的施體基體,裝置層在前側裝置加工期間係安置於該施體基體上。因此,在裝置胞元中的半導體厚度在電晶體背側顯露後潛在地僅為數十或數百奈米之情況下,微米深的TSV變得不必要。
本文所述之顯露技術可使能獲得從「底朝上」之裝置製造到「中央朝外」之製造的範式變遷,其中「中央」為於前側製造中使用、從背側顯露、再於背側製造中使用的任何層。一裝置結構之一前側及經顯露背側兩者的加工可在主要依賴前側加工時,解決與製造3D IC相關聯之許多挑戰。
一電晶體背側顯露方案可用來例如移除施體主基體總成之一載體層及一居間層之至少一部分。該程序流程以一施體主基體總成之一輸入開始。該施體主基體中之一載體層的厚度被拋光(例如CMP)及/或以一濕式或乾式(例如電漿)蝕刻程序來蝕刻。可利用已知適合於載體層之組成的任何研磨、拋光及/或濕式/乾式蝕刻程序。舉例而言,在載體層為一IV族半導體(例如矽)之情況下,可利用已知適合於薄化半導體之CMP漿料。同樣地,亦可利用已知適合於薄化該IV族半導體的任何濕式蝕刻或電漿蝕刻程序。
在一些實施例中,在上述之前先沿著實質平行於居間層的一斷裂面切砍載體層。該切砍或斷裂程序可用來將載體層之大部分以一大塊物移除,減少移除載體層所需之拋光或蝕刻時間。例如,在載體層厚度為400-900 μm下,100-700 μm可藉由實施任何已知用以促進一晶圓級斷裂之毯式植入而被砍掉。在一些示例性實施例中,輕的元素(例如,H、He或Li)被植入至需要斷裂面之載體層內的一均勻目標深度。在此一切砍程序之後,留在施體主基體總成中之載體層的厚度可接著被拋光或蝕刻以完成移除。替代地,在該載體層沒被斷裂的情況下,研磨、拋光及/或蝕刻操作可利用來移除該載體層之一較大的厚度。
接著,偵測一居間層的暴露。偵測係用來識別施體基體之背側表面已前進至幾乎到裝置層之時的點。可實施任何已知適合於偵測載體層及居間層所用之材料間的過渡的端點偵測技術。在一些實施例中,一或多個端點準則係基於偵測施體基體之背側表面在所進行的拋光或蝕刻期間之光學吸收或發射之變化。在一些其他實施例中,端點準則係與在施體基體背側表面之拋光或蝕刻期間副產物之光學吸收或發射的變化相關聯。舉例來說,與該載體層蝕刻副產物相關聯的吸收或發射波長可改變,係隨著該載體層和該居間層的不同組成而改變。在其他實施例中,端點準則與拋光或蝕刻施體基體之背側表面的副產物中的物種之質量變化相關聯。舉例而言,加工之副產物可經由四極質量分析器進行取樣,且物種質量之變化可相關於載體層及居間層之不同組成。在另一示例性實施例中,端點準則係關聯於施體基體的一背側表面以及與施體基體背側表面接觸的一拋光表面之間的摩擦變化。
居間層的偵測可被增強,其中移除程序就相對於居間層之載體層是選擇性的,因為載體移除程序中的不均勻度可藉由在載體層與居間層之間的一蝕刻率δ來減輕。若研磨、拋光及/或蝕刻操作係以充分低於載體層被移除速率之速率移除居間層,則偵測甚至可被跳過。若未使用端點準則,則如果居間層之厚度就蝕刻選擇性而言為足夠時,預定之固定持續期間之研磨、拋光及/或蝕刻操作可停止在居間層材料上。在一些範例中,該載體蝕刻速率:居間層蝕刻速率為3:1 - 10:1或更多。
在暴露該居間層時,該居間層的至少一部分可被移除。例如,可移除居間層之一或多個組件層。舉例來說,可藉由拋光均勻地移除居間層之厚度。替代地,可以一遮罩式或毯式蝕刻程序移除該居間層的一厚度。該程序可利用如同用於薄化載體之相同拋光或蝕刻程序,或者可為一具有不一樣程序參數的不一樣程序。舉例而言,當居間層為載體移除程序提供一蝕刻停止時,後者操作可使用一有利於移除居間層更勝於移除裝置層的不同拋光或蝕刻程序。在少於數百奈米之居間層厚度將被移除的情況下,移除程序可相對緩慢、針對跨晶圓均勻性最佳化,且比用於載體層之移除被更精確地控制。所採用的一CMP程序可,例如利用一漿液,其在半導體(例如矽)與包圍裝置層且嵌入於居間層內的介電材料(例如SiO)之間提供非常高的選擇性(例如100:1 - 300:1或更多),該介電材料例如作為相鄰裝置區域間的電氣隔離。
對於裝置層透過居間層之完全移除而顯露的實施例,背側加工可在裝置層或其中的特定裝置區域之一暴露背側上開始。在一些實施例中,該背側裝置層加工包括進一步拋光或濕式/乾式蝕刻,穿過設置在該居間層與先前在該裝置層中製造的諸如源極或汲極區之裝置區域間的裝置層之厚度。
在載體層、居間層、或裝置層背側係以一濕式及/或電漿蝕刻產生凹陷的一些實施例中,此一蝕刻可為一圖案化蝕刻或材料選擇性蝕刻,其將顯著非平面性或形貌賦予至裝置層背側表面中。如下文進一步描述,圖案化可在一裝置胞元內(亦即「胞元內」圖案化)或可跨越裝置胞元(亦即「胞元間」圖案化)。在一些圖案化蝕刻實施例中,居間層的至少一部分厚度被用來作為用於背側裝置層圖案化的一硬遮罩。因此,一遮罩蝕刻程序可開始一經對應遮罩之裝置層蝕刻。
以上描述之加工體系可得到一施體主基體總成,其包括具有一居間層背側、裝置層背側及/或裝置層內之一或多個半導體區之背側的IC裝置,及/或所顯露之前側金屬化。這些顯露區域之任一者的額外背側加工可隨後在下游加工期間進行。
應瞭解的是,由以上示例性加工體系產生的結構可以相同或相似形式用於後續加工操作,以完成裝置製造,諸如PMOS及/或NMOS裝置製造。作為一可能完整裝置的一範例,圖5根據本揭露內容之一實施例,描繪沿著一閘極線所截取的一非平面積體電路結構的橫截面圖。
參看圖5,一半導體結構或裝置500包括在一溝槽隔離區506內之一非平面作用區(例如,包括突出鰭片部分504及子鰭片區505之鰭片結構)。在一實施例中,該非平面作用區,而不是一固態鰭片,被分離成子鰭片區505上方之奈米線(諸如奈米線504A及504B),如虛線所表示者。在任一情況下,為了易於描述非平面積體電路結構500,一非平面作用區504在下文被稱為一突出的鰭片部分。在一實施例中,一局部隔離結構599將奈米線504A及504B與子鰭片區505隔離,如所描繪者。在一實施例中,局部隔離結構599係根據諸如關聯於圖1A-1Q或2A-2K所述之方法來製造。在一實施例中,子鰭片區505包括諸如一鬆弛緩衝層542及一缺陷修改層540之生長增強層,如所描繪者。
一閘極線508設置於非平面作用區之突出部分504上方(若適用,包括包圍奈米線504A及504B)、以及溝槽隔離區506之一部分上方。如所示,閘極線508包括一閘極電極550及一閘極介電層552。在一實施例中,閘極線508亦可包括一介電蓋體層554。一閘極接點514及上覆閘極接觸通孔516亦從此透視圖見到,伴隨一上覆金屬互連件560,其全部皆設置於層間介電堆疊或層570中。亦從圖5之透視圖來看,在一實施例中,該閘極接點514係設置於溝槽隔離區506上方,但不是在非平面作用區上方。在另一實施例中,閘極接點514係在該非平面作用區上方。
在一實施例中,該半導體結構或裝置500為一非平面裝置,諸如但不限於鰭片FET裝置、三閘極裝置、奈米帶裝置、或奈米線裝置。在此一實施例中,一對應半導體通道區係由一三維本體構成或形成於其中。在此一實施例中,閘極線508之閘極電極堆疊包圍該三維本體之至少一頂部表面及一對側壁。
亦如圖5中所描繪,在一實施例中,一介面580存在於突出鰭片部分504與子鰭片區505之間。介面580可為在一摻雜子鰭片區505與一微或未摻雜上部鰭片部分504之間的一過渡區。在一此等實施例中,每一鰭片為大約10奈米寬或更小,且子鰭片摻雜物係從子鰭片位置處的一相鄰固態摻雜層任擇地供應。在一特定此等實施例中,每一鰭片係小於10奈米寬度。
儘管圖5中未描繪,但應瞭解,突出鰭片部分504之源極或汲極區、或鄰近突出鰭片部分504之源極或汲極區係在閘極線508之任一側上,亦即,進入及離開頁面。在一實施例中,移除源極或汲極位置中之突出鰭片部分504之材料且以另一半導體材料替換,例如藉由磊晶沉積以形成磊晶源極或汲極結構。源極或汲極區可延伸在溝槽隔離區506之介電層之高度以下,亦即,延伸至子鰭片區505中。根據本揭露內容之一實施例,較重度摻雜的子鰭片區,亦即介面580下方的鰭片摻雜部分,抑制了通過該大塊半導體鰭片之此部分的源極到汲極洩漏。
再次參看圖5,在一實施例中,鰭片504/505(及可能奈米線504A及504B)係由一結晶矽鍺層所組成,其可被一帶電載體摻雜,諸如但不限於磷、砷、硼、鎵或其等之一組合。
在一實施例中,溝槽隔離區506,及全文所描述之溝槽隔離區(溝槽隔離結構或溝槽隔離層)可用之組成材料適合於將一永久閘極結構之部分與一下伏大塊基體最終電氣隔離、或有助於其隔離,或者隔離形成於一下伏大塊基體內之作用區,諸如隔離鰭片作用區。例如,在一實施例中,溝槽隔離區506由一介電材料構成,諸如但不限於二氧化矽、氧氮化矽、氮化矽或摻碳氮化矽。
閘極線508可由包括一閘極介電層552及一閘極電極層550之閘極電極堆疊所構成。在一實施例中,閘極電極堆疊之閘極電極係由一金屬閘極組成,且閘極介電層552係由一高k材料構成。舉例而言,在一實施例中,閘極介電層552係由一材料所構成,諸如但不限於氧化鉿、氧氮化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、氧化鉛鈧鉭、鈮酸鉛鋅、或其等之一組合。此外,閘極介電層552之一部分可包括自基體鰭片504之頂部少數層形成的一層原生氧化物。在一實施例中,閘極介電層552由一頂部高k部分、及由一半導體材料之一氧化物組成之一下部部分所構成。在一實施例中,閘極介電層552由具氧化鉿之一頂部部分及具二氧化矽或氧氮化矽之一底部部分所組成。在一些實現態樣中,該閘極介電質之一部分為一「U」形結構,其包括實質平行於該基體表面之一底部部分、以及實質垂直於該基體之頂部表面之兩側壁部分。
在一實施例中,該閘極電極層550係由一金屬層所構成,諸如但不限於,金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳或傳導性金屬氧化物。在一特定實施例中,閘極電極層550由形成於一金屬工作函數設定層上方的一非工作函數設定填充材料組成。閘極電極層550可由一P型工作函數金屬或一N型工作函數金屬組成,取決於電晶體是否為一PMOS或NMOS電晶體。於一些實現態樣中,該閘極電極層550可由二或更多層金屬層之堆疊所構成,其中一或更多層金屬層為工作函數金屬層,且至少一金屬層為一傳導充填層。對於一PMOS電晶體,可用於閘極電極之金屬包括但不限於釕、鈀、鉑、鈷、鎳及傳導金屬氧化物,例如氧化釕。一P型金屬層將使得一PMOS閘極電極的形成能夠有介於約4.9 eV與約5.2 eV之間的工作函數。對於一NMOS電晶體而言,可被使用於該閘極電極的金屬包括但不限於鉿、鋯、鈦、鉭、鋁、這些金屬之合金、以及這些金屬之碳化物,諸如碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁。一N型金屬層將使得一NMOS閘極電極的形成能夠有介於約3.9 eV與約4.2 eV之間的工作函數。在一些實現態樣中,閘極電極可由一「U」形結構組成,其包括實質平行於基體之表面的一底部部分及實質垂直於基體之頂部表面的兩側壁部分。在另一實現態樣中,形成閘極電極層550之金屬層中之至少一者可僅為實質平行於該基體之頂部表面的一平面層,且不包括實質垂直於該基體之頂部表面之側壁部分。於本揭露內容之另外的實現態樣中,該閘極電極可由U形結構及平面非U形結構的一組合所構成。例如,該閘極電極層550可由在一或多個平面、非U形層頂上所形成之一個或多個U形金屬層所組成。
與閘極電極堆疊相關聯的間隔件可由適合最終電氣隔離或有助於隔離一永久閘極結構與諸如自對準接點之鄰近傳導接點的一材料所構成。例如,在一實施例中,間隔件由一介電材料構成,諸如但不限於二氧化矽、氧氮化矽、氮化矽或摻碳氮化矽。
閘極接點514及上覆閘極接觸通孔516可由一傳導材料組成。在一實施例中,該等接點或通孔中之一或多者係由一金屬物種組成。金屬物種可為一純金屬,諸如鎢、鎳或鈷,或可為合金,諸如金屬-金屬合金或金屬-半導體合金(例如,諸如矽化物材料)。
在一實施例(雖未示)中,基本上完美對準一現有閘極圖案508之一接點圖案被形成,而免除了具有極嚴格配準預算之一微影步驟的使用。在一實施例中,接點圖案為一垂直對稱接點圖案、或一非對稱接點圖案。在其他實施例中,所有接點都是前側連接且非為不對稱的。在一此等實施例中,自對準方案使能使用本質上高度選擇性的濕式蝕刻(例如,相對於傳統所實施之乾式蝕刻或電漿蝕刻)來產生接觸開口。在一實施例中,藉由利用一現有閘極圖案結合一接觸插塞微影操作而形成一接點圖案。在一此等實施例中,該方案使能免除一否則為關鍵之如傳統方案中用來產生一接觸圖案之微影操作的需求。在一實施例中,一溝槽接點柵格並非分開地進行圖案化,而是形成於多重(閘極)線之間。舉例而言,在一此等實施例中,一溝槽接點柵格係在閘極光柵圖案化之後但在閘極光柵切割之前形成。
在一實施例中,提供結構500涉及藉由一取代閘極程序來製造閘極堆疊結構508。在此一體系中,諸如多晶矽之假閘極材料或氮化矽柱材料可被移除並以永久閘極電極材料取代。在一此等實施例中,相對於從早期加工所進行,永久閘極介電層亦於此程序中形成。在一實施例中,藉由一乾式蝕刻或一濕式蝕刻程序移除假閘極。在一實施例中,假閘極係由多晶矽或非晶矽所組成且以一乾式蝕刻程序移除,該乾式蝕刻程序包括SF6的使用。在另一實施例中,假閘極由多晶矽或非晶矽組成且以一濕式蝕刻程序移除,該濕式蝕刻程序包括水性NH4OH或氫氧化四甲銨的使用。在一實施例中,假閘極係由氮化矽所組成且用包括水性磷酸之一濕式蝕刻移除。
再次參看圖5,半導體結構或裝置500的配置使閘極接點放置在隔離區上方。此一配置可被視為佈局空間之低效使用。然而,在另一實施例中,半導體裝置具有接觸結構,其接觸了形成於一作用區上方的一閘極電極之部分,例如在一鰭片505上方,且與一溝槽接觸通孔在一相同層中。
應瞭解的是,並非上述程序之所有方面都需要被實施才屬落入本發明之實施例的精神及範疇。又,本文所描述之程序可用以製造一或複數個半導體裝置。該等半導體裝置可為電晶體或類似裝置。例如,在一實施例中,該等半導體裝置為用於邏輯器或記憶體的一金屬氧化物半導體(MOS)電晶體,或為雙極電晶體。又,在一實施例中,該等半導體裝置具有三維架構,諸如奈米線裝置、奈米帶裝置、三閘極裝置、獨立進接的雙閘極裝置或FIN-FET。一或多項實施例對於以次10奈米(10 nm)技術節點製造半導體裝置可為特別有用。
在一實施例中,如貫穿本發明說明所使用者,層間介電(ILD)材料的組成係為、或包括一層介電或絕緣材料。合適的介電材料之範例包括但不限於矽氧化物(例如二氧化矽(SiO2 ))、經摻雜之矽氧化物、氟化之矽氧化物、摻碳之矽氧化物、此技藝中已知的各種低k介電材料,及其組合。該層間介電材料可藉由傳統技術形成,諸如,例如化學氣相沉積(CVD)、物理氣相沉積(PVD),或其他沉積方法。
在一實施例中,如亦貫穿本發明說明所使用者,金屬線或互連線材料(及通孔材料)係由一或更多金屬或其他傳導結構組成。一常見範例為使用銅線與可或可不包括介於銅與周圍ILD材料之間的阻障層的結構。如本案所用,用語金屬包括合金、堆疊、及多金屬的其他組合。舉例來說,金屬互連線可包括阻障層(例如包括Ta、TaN、Ti或TiN中之一或多者的層)、不同金屬或合金的堆疊等。因此,該等互連線可為一單一材料層,或者可由包括傳導襯墊層及填充層之若干層所形成。任何適宜的沉積程序,諸如電鍍、化學氣相沉積或物理氣相沉積,皆可用於形成互連線。在一實施例中,互連線由一傳導材料構成,諸如但不限於Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Au或其合金。該等互連線在本技藝中有時稱為跡線、導線、線路、金屬、或簡稱互連。
在一實施例中,如亦貫穿本發明說明所使用者,硬遮罩材料、覆蓋層、介電襯墊或介電蓋體係由不同於層間介電材料之介電材料組成。在一實施例中,不同的硬遮罩、覆蓋或插塞材料可用於不同的區域中,以便提供不同的生長或蝕刻選擇性給彼此、及給下伏的介電質及金屬層。在一些實施例中,一硬遮罩層、蓋覆或插塞層包括一層矽氮化物(例如氮化矽)或一層矽氧化物、或兩者、或其組合。其他合適材料可包括以碳為基之材料。本技藝中已知的其他硬遮罩、覆蓋或插塞層可取決於特定實現態樣而使用。該硬遮罩、覆蓋或插塞層可藉由CVD、PVD、或藉由其他沉積方法來形成。
在一實施例中,亦如貫穿本發明說明所使用者,使用193 nm浸沒式微影術(i193)、EUV及/或EBDW微影術或其類似者來進行微影操作。可使用一正調或負調光阻劑。在一實施例中,一微影遮罩係為由一形貌遮罩部分、一抗反射塗覆(ARC)層、及一光阻層所組成之一三層遮罩。在一特定此等實施例中,形貌遮罩部分為一碳硬遮罩(CHM)層且抗反射塗覆層為一矽ARC層。
在另一方面,一或多項實施例係針對由自對準閘極端蓋(SAGE)結構所分開的相鄰半導體結構或裝置。特定實施例可針對多寬度(多Wsi)奈米線及奈米帶整合在一SAGE架構中且為一SAGE壁所分開。在一實施例中,於一前段程序流程之一SAGE架構部分中,奈米線/奈米帶經整合具有多Wsi。此一程序流程可涉及不同Wsi之奈米線及奈米帶的整合,以提供具有低功率和高效能之下一代電晶體的穩健功能性。可嵌入關聯之磊晶源極或汲極區(例如,奈米線之部分移除且隨後進行源極或汲極(S/D)生長)。
為了提供進一步情境,自對準閘極端蓋(SAGE)架構之優點可包括使能具較高佈局密度,且特別是,擴散至擴散間隔之縮放。為提供例示性比較,圖6根據本揭露內容之一實施例,描繪通過一自對準閘極端蓋架構之奈米線及鰭片所截取的一橫截面圖。圖7根據本揭露內容之一實施例,描繪通過一自對準閘極端蓋(SAGE)架構之奈米線及鰭片所截取的一橫截面圖。
參看圖6,一積體電路結構600包括一基體602,其具有自其突出一總量606於一隔離結構608上方的鰭片604,該隔離結構608側向包圍鰭片604之下部部分。鰭片之上部部分可包括一局部隔離結構622(諸如關聯於圖1A-1Q或2A-2K所描述)及一生長增強層620,如所描繪者。對應奈米線605係在鰭片604上方。閘極結構可形成於積體電路結構600上方以製造一裝置。然而,可藉由增加鰭片604/奈米線605對之間的間隔來適應此閘極結構中之破裂。
參看圖6,在一實施例中,在閘極形成之後,結構600之下部部分可經平面化及/或蝕刻至層級634,以便留下一背側表面,包括閘極結構及磊晶源極或汲極結構之暴露底部表面。應瞭解的是,背側(底部)接點可形成在磊晶源極或汲極結構之暴露底部表面上。亦應瞭解的是,平面化及/或蝕刻可達其他層級,諸如630或632。
相較之下,參看圖7,一積體電路結構750包括一基體752,其具有自其突出一總量756於一隔離結構758上方的鰭片754,該隔離結構側向包圍鰭片754之下部部分。鰭片之上部部分可包括一局部隔離結構772(諸如關聯於圖1A-1Q或2A-2K所描述)及一生長增強層770,如所描繪者。對應奈米線755係在鰭片754上方。隔離SAGE壁760(其可包括在其上之一硬遮罩,如所描繪者)係包括在隔離結構758內且在相鄰鰭片754/奈米線755對之間。一隔離SAGE壁760與一最接近鰭片754/奈米線755對之間的距離界定了閘極端蓋間隔762。閘極結構可在隔離SAGE壁之間形成於積體電路結構750上方以製造一裝置。此一閘極結構中的破裂可由隔離SAGE壁所賦加。由於隔離SAGE壁760為自對準的,因此可最小化來自傳統方案之限制,使能有更激進的擴散至擴散間隔。此外,由於閘極結構在所有位置包括破裂,因此個別閘極結構部分可藉由形成於該等隔離SAGE壁760上方的區域互連件予以層連接。在一實施例中,如所繪示,該等隔離SAGE壁760各包括在下部介電部分上的一下部介電部分及一介電蓋體。
參看圖7,在一實施例中,在閘極形成之後,結構700之下部部分可經平面化及/或蝕刻至層級784,以便留下一背側表面,包括閘極結構及磊晶源極或汲極結構之暴露底部表面。應瞭解的是,背側(底部)接點可形成在磊晶源極或汲極結構之暴露底部表面上。亦應瞭解的是,平面化及/或蝕刻可達其他層級,諸如780或782。
自對準閘極端蓋(SAGE)加工體系涉及閘極/溝槽接觸端蓋之形成,其自對準至鰭片而不需要額外長度來考慮遮罩失配準。因此,可實現實施例以使得能夠有收縮的電晶體佈局區域。本文所描述之實施例可涉及閘極端蓋隔離結構之製造,其可亦稱為閘極壁、隔離閘極壁或自對準閘極端蓋(SAGE)壁。
在一實施例中,如全文所描述者,自對準閘極端蓋(SAGE)隔離結構可由適合最終電氣隔離或有助於永久閘極結構之部分的彼此隔離的一材料或多材料所組成。示例性材料或材料組合包括諸如二氧化矽、氧氮化矽、氮化矽、或摻碳氮化矽之單一材料結構。其他示例性材料或材料組合包括一多層堆疊,其具有下部部分二氧化矽、氧氮化矽、氮化矽或摻碳氮化矽、以及上部部分諸如氧化鉿之較高介電常數材料。
為突顯具有三個垂直配置奈米線的一示例性積體電路結構,圖8A根據本揭露內容之一實施例,描繪一基於奈米線之積體電路結構的三維橫截面視圖。圖8B描繪圖8A之基於奈米線之積體電路結構沿一a-a'軸所截取的橫截面源極或汲極視圖。圖8C描繪圖8A之基於奈米線之積體電路結構沿b-b'軸所截取的橫截面通道視圖。
參看圖8A,一積體電路結構800包括在一基體802上方的一或多個垂直堆疊奈米線(804集合)。在一實施例中,如所描繪,一局部隔離結構802C、一生長增強層802B、及一下部基體部分802A係包括於基體802中,如所描繪者。出於示例性之目的為了強調奈米線部分的緣故,並未描繪在最底部奈米線下方且自基體802形成的一任擇鰭片。本文的實施例鎖定於單導線裝置及多導線裝置兩者。作為一範例,具有奈米線804A、804B及804C之三條奈米線為基的裝置係為示例性之目的而展示。為便於描述,奈米線804A係作為一範例,其中的描述集中於奈米線中之一者。應瞭解的是,在描述一奈米線之屬性的情況下,基於複數奈米線的實施例,就奈米線之每一者可具有相同或基本上相同的屬性。
奈米線804中每一者包括一通道區806於該奈米線中。通道區806具有一長度(L)。參看圖8C,通道區亦具有與長度(L)正交之一周邊(Pc)。請參看圖8A及8C兩者,一閘極電極堆疊808包圍每一通道區806的整個周邊(Pc)。閘極電極堆疊808包括一閘極電極,伴隨有該通道區806與閘極電極(未展示)之間的一閘極介電層。在一實施例中,該通道區為分離的,其完全被該閘極電極堆疊808包圍而沒有任何居間材料,諸如下伏基體材料或上覆通道製造材料。因此,在具有複數奈米線804之實施例中,奈米線之通道區806亦相對於彼此為分離的。
參看圖8A及8B兩者,積體電路結構800包括一對非分離的源極或汲極區810/812。該對非分離的源極或汲極區810/812係在該複數垂直堆疊奈米線804之通道區806的任一側上。此外,該對非分離的源極或汲極區810/812係鄰接於該複數垂直堆疊奈米線804之通道區806。在一此等實施例中,未描繪,該對非分離的源極或汲極區810/812係直接垂直鄰接於通道區806,其因磊晶生長係在延伸超過通道區806之奈米線部分上以及之間,其中奈米線末端係展示為在源極或汲極結構內。在另一實施例中,如圖8A中所描繪,該對非分離的源極或汲極區810/812係間接垂直鄰接於通道區806,其因它們形成在奈米線之末端處且並非在奈米線之間。
在一實施例中,如所描繪,源極或汲極區810/812為非分離的,其因奈米線804之每一通道區806不存在個別且分離的源極或汲極區。因此,在具有複數奈米線804之實施例中,奈米線之源極或汲極區810/812係為全域或統合的源極或汲極區,相反於就每一奈米線採分離的。亦即,就一單一統合特徵用作一複數(在此情況下,3個)奈米線804之一源極或汲極區的意義上言之,非分離的源極或汲極區810/812為全域的,其中該複數奈米線更具體而言係有一個以上分離的通道區806。在一實施例中,從正交於分離的通道區806之長度的橫截面透視圖來看,該對非分離的源極或汲極區810/812中之每一者在形狀上大致為具一底部錐形部分及一頂部頂點部分之矩形,如圖8B中所描繪。然而,在其他實施例中,奈米線之源極或汲極區810/812係相對較大而分離的非垂直合併磊晶結構,諸如凸點。
根據本揭露內容之一實施例,且如圖8A及8B中所描繪,積體電路結構800進一步包括一對接點814,每一接點814在該對非分離的源極或汲極區810/812中之一者上。在一此等實施例中,在垂直意義上,每個接點814完全地包圍各別之非分離的源極或汲極區810/812。在另一態樣中,非分離的源極或汲極區810/812之整個周邊可能非為接點814可進接,且接點814因此僅部分地包圍該非分離的源極或汲極區810/812,如圖8B中所描繪。在對比實施例中,未予描繪,非分離的源極或汲極區810/812之整個周邊,沿a-a'軸截取時,係為接點814所包圍。
再次參看圖8A,在一具體例中,積體電路結構800更包括一對間隔件816。如所描繪者,該對間隔件816之外部分可重疊非分離的源極或汲極區810/812之部分,提供非分離的源極或汲極區810/812之「嵌入」部分於該對間隔件816之下。如亦所繪示者,非分離的源極或汲極區810/812之嵌入部分可不延伸於該對間隔件816的整體之下。
基體802可由適合於積體電路結構製造的材料構成。在一具體例中,基體802包括由一材料之一單一結晶所組成的一下部大塊基體,該材料可包括但不限於矽、鍺、矽鍺、鍺錫、矽鍺錫、或一III-V族化合物半導體材料。由可包括但不限於二氧化矽、氮化矽或氧氮化矽之一材料所組成之一上部絕緣層係在該下部大塊基體上。因此,可自一起始絕緣體上半導體基體製造結構800。或者,結構800係直接從一大塊基體形成,且局部氧化係用來形成電絕緣部分取代上述上部絕緣層。在另一替代性實施例中,結構800係直接從一大塊基體形成且摻雜係用來在其上形成諸如奈米線之電氣隔離作用區。在一此等實施例中,第一奈米線(亦即,鄰近基體)係呈一Ω-FET型結構的形式。
在一實施例中,奈米線804可定尺寸為線或帶,如以下所描述,且可具有方角或圓角。在一實施例中,奈米線804由諸如但不限於矽、鍺或其組合的一材料組成。在一此等實施例中,奈米線為單一結晶。例如,對於一矽奈米線804,一單一結晶奈米線可基於一(100)全域定向,例如z方向上之<100>平面。如下文所述,亦可考慮其他定向。在一實施例中,從橫截面透視圖,奈米線804之尺寸為奈米尺度。例如,在一特定實施例中,奈米線804之最小尺寸小於大約20奈米。在一實施例中,奈米線804由一應變材料組成,尤其在通道區806中。
參看圖8C,在一實施例中,通道區806中之每一者具有一寬度(Wc)及一高度(Hc),該寬度(Wc)大致與該高度(Hc)相同。亦即,在兩種情形中,該等通道區806於橫截面輪廓係方形類或,若呈圓角則係圓形類。在另一態樣中,通道區之寬度及高度不需要相同,諸如如全文所描述之用於奈米帶的狀況。
再次參看圖8A、8B及8C,在一實施例中,結構800之下部部分可經平面化及/或蝕刻至層級899,以便留下一背側表面,包括閘極結構及磊晶源極或汲極結構之暴露底部表面。應瞭解的是,背側(底部)接點可形成在磊晶源極或汲極結構之暴露底部表面上。
在一實施例中,如全文所描述,一積體電路結構包括非平面裝置,諸如但不限於具有對應一或多個上覆奈米線結構的一finFET或三閘極結構,及在finFET或三閘極結構與對應一或多個上覆奈米線結構之間的一隔離結構。在一些實施例中,保留finFET或三閘極結構。在其他實施例中,最終可在一基體移除程序中移除該finFET或三閘極結構。
在一實施例中,如全文所描述,一下伏基體可由能夠承受製造程序且電荷可於其中遷移的一半導體材料組成。在一實施例中,基體為由一結晶矽、矽/鍺或鍺層組成之大塊基體,其摻雜有一電荷載體,諸如但不限於磷、砷、硼、鎵或其組合,以形成一作用區。在一實施例中,大塊基體中矽原子之濃度係大於97%。在另一實施例中,大塊基體係由在不一樣結晶基體頂上生長的一磊晶層所組成,例如在摻硼的大塊矽單晶基體頂上生長的一矽磊晶層。一大塊基體可替代地由一III-V族材料組成。在一實施例中,一大塊基體係由一III-V族材料構成,諸如但不限於氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵、或其之一組合。在一實施例中,大塊基體係由一III-V族材料組成,並且電荷載體摻雜雜質原子為,例如但不限於碳、矽、鍺、氧、硫、硒或碲。
本文所揭示的實施例可被用來製造一廣泛多樣不同類型的積體電路及/或微電子裝置。此等積體電路之範例包括但不限於處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器,及類似者。在其他實施例中,可製造半導體記憶體。此外,積體電路或其他微電子裝置可被用在本技藝中已知的各式各樣的電子裝置中。舉例而言,在電腦系統(例如桌上型電腦、膝上型電腦、伺服器)、蜂巢式電話、個人電子裝置等中。該等積體電路可與該系統中之一匯流排及其他組件耦接。例如,一處理器可藉由一或多個匯流排耦接至一記憶體、一晶片組等。處理器、記憶體及晶片組之每一者均可使用本文中所揭示之方案而可能被製造出。
圖9例示根據本揭露內容之實施例的一實現態樣之運算裝置900。該運算裝置900容裝一板902。該板902可包括多數組件,包括但不限於處理器904及至少一通訊晶片906。處理器904實體且電氣耦接至該板902。在一些實現態樣中,該至少一通訊晶片906亦實體且電氣耦接至該板902。在進一步實現態樣中,該通訊晶片906係該處理器904之部分。
取決於其應用,運算裝置900可包括可以是或可以不是實體且電氣耦接至該板902的其他組件。這些其他組件係包括但不限於依電性記憶體(例如DRAM)、非依電性記憶體(例如ROM)、快閃記憶體、一圖形處理器、一數位信號處理器、一加密處理器、一晶片組、一天線、一顯示器、一觸控螢幕顯示器、一觸控螢幕控制器、一電池、一音訊編解碼器、一視訊編解碼器、一功率放大器、一全球定位系統(GPS)裝置、一羅盤、一加速度計、一陀螺儀、一揚聲器、一攝影機、及一大量儲存裝置(諸如硬碟機、光碟(CD)、數位多功能碟(DVD)等)。
通訊晶片906使能進行用以將資料傳送進出該運算裝置900的無線通訊。用語「無線」及其衍生詞可用以描述可透過經調變之電磁輻射之使用透過一非固態媒體來傳遞資料之電路、裝置、系統、方法、技術、通訊頻道等。此用語並非暗示相關聯裝置不含有任何導線,但是在一些實施例中此等相關聯裝置可不含有任何導線。通訊晶片906可實行多數無線標準或協定中任何無線標準或協定,包括但不限於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其等之衍生物,以及標指為3G、4G、5G及往後的任何其他無線協定。運算裝置900可包括複數通訊晶片906。舉例而言,一第一通訊晶片906可專用於較短範圍無線通訊,諸如Wi-Fi及藍牙,且一第二通訊晶片906可專用於較長範圍無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、及其他。
運算裝置900之處理器904包括封裝於處理器904內之積體電路晶粒。處理器904之積體電路晶粒可包括一或多個結構,諸如根據本揭露內容之實施例之實現態樣所構建的具有鰭片堆疊隔離之閘極全包圍式積體電路結構。用語「處理器」可指處理來自暫存器及/或記憶體之電子資料來將彼電子資料轉換成可儲存於暫存器及/或記憶體中之其他電子資料的任何裝置或一裝置的部分。
通訊晶片906亦包括封裝在通訊晶片906內的積體電路晶粒。通訊晶片906之積體電路晶粒可包括根據本揭露內容之實施例的實現態樣所建構的、諸如具有鰭片堆疊隔離之閘極全包圍式積體電路結構的一或多個結構。
在進一步的實現態樣中,容裝於運算裝置900內之另一組件可含有一積體電路晶粒,其包括根據本揭露內容之實施例的實現態樣所建構的、諸如具有鰭片堆疊隔離之閘極全包圍式積體電路結構的一或多個結構。
在各種實現態樣中,該運算裝置900可為一膝上型電腦、一輕省筆電、一筆記型電腦、一超輕薄筆電、一智慧型手機、一平板電腦、一個人數位助理(PDA)、一超輕薄行動PC、一行動電話、一桌上型電腦、一伺服器、一印表機、一掃描器、一監視器、機上盒、一娛樂控制單元、一數位相機、一可攜式音樂播放器、或一數位錄影機。在進一步的實現態樣中,運算裝置900可為任何其他處理資料之電子裝置。
圖10例示包括本揭露內容之一或多個實施例的一中介件1000。該中介件1000係用來橋接一第一基體1002至一第二基體1004的一居間基體。第一基體1002可為例如一積體電路晶粒。第二基體1004可為例如一記憶體模組、一電腦主機板、或另一積體電路晶粒。一般而言,一中介件1000的目的是將一連接擴展成一更寬的間距或者把一連接重新路由安排到一不同的連接。舉例而言,中介件1000可將一積體電路晶粒耦接至一球柵陣列(BGA)1006,其可隨後耦接至第二基體1004。在一些實施例中,第一及第二基體1002/1004經附接至該中介件1000之相對側。在其他實施例中,第一及第二基體1002/1004經附接至該中介件1000之同一側。而在進一步的實施例中,三個或更多個基體係以中介件1000相連。
中介件1000可由環氧樹脂、玻璃纖維強化環氧樹脂、陶瓷材料、或諸如聚醯亞胺之聚合物材料形成。在進一步的實現態樣中,中介件1000可由替代的剛性或可撓性材料形成,其可包括上述供用於一半導體基體中之相同材料,諸如矽、鍺、及其他III-V族及IV族材料。
中介件1000可包括金屬互連件1008及通孔1010,包括但不限於穿矽通孔(TSV)1012。中介件1000可進一步包括嵌入裝置1014,包括被動及主動裝置兩者。此等裝置包括但不限於,電容器、解耦電容器、電阻器、電感器、保險絲、二極體、變壓器、感測器及靜電放電(ESD)裝置。更複雜裝置,諸如射頻(RF)裝置、功率放大器、電力管理裝置、天線、陣列、感測器及MEMS裝置亦可形成於中介件1000上。根據本揭露內容之實施例,本文所揭示之設備或程序可用於中介件1000的製造或包括於中介件1000中之組件的製造。
因此,本揭露內容之實施例包括具有鰭片堆疊隔離的閘極全包圍式積體電路結構,以及製造具有鰭片堆疊隔離的閘極全包圍式積體電路結構之方法。
本揭露內容之實施例所例示之實現態樣的以上說明,包括在摘要中所描述的內容,並非意為窮盡性或欲將本揭露內容限制為所揭示之確切形式。儘管本揭露內容之特定實現態樣及範例係基於說明目的而於本文中描述,但是如熟習相關技藝者將認識到,各種等效修改在本揭露內容之範疇內係可能的。
可按照上述詳細說明而對本揭露內容做出這些修改。以下申請專利範圍中所用之用語不應解釋為將本發明限於說明書及申請專利範圍中所揭示之特定實現態樣。反之,本揭露內容的範圍完全由下面的申請專利範圍決定,其將依照已建立之申請專利範圍詮釋的準則來解釋。
範例實施例1:  一積體電路結構包括一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁。一隔離結構係在該子鰭片結構之該頂部上及沿著該等側壁處。該隔離結構包括一第一介電材料,其包圍一第二介電材料之區域。水平奈米線之一垂直配置,其在該子鰭片結構之該頂部表面上的該隔離結構之一部分上。
範例實施例2:  如範例實施例1之積體電路結構,其中該隔離結構沿著子鰭片結構之該等側壁的一部分具有一頂部表面,其與該隔離結構位在該子鰭片結構之該頂部表面上的該部分之一頂部表面為共面。
範例實施例3:  如範例實施例1之積體電路結構,其中該隔離結構沿著子鰭片結構之該等側壁的一部分具有一頂部表面,其係於該隔離結構位在該子鰭片結構之該頂部表面上的該部分之一頂部表面的上方。
範例實施例4:  如範例實施例1之積體電路結構,其中該隔離結構沿著子鰭片結構之該等側壁的一部分具有一頂部表面,其係於該隔離結構位在該子鰭片結構之該頂部表面上的該部分之一頂部表面的下方。
範例實施例5:  如範例實施例1、2、3或4之積體電路結構,其進一步包括一閘極堆疊,其包圍水平奈米線之該垂直配置之一通道區。
範例實施例6:  如範例實施例5之積體電路結構,其中該閘極堆疊包括一高k閘極介電層及一金屬閘極電極。
範例實施例7:  如範例實施例1、2、3、4、5或6之積體電路結構,其進一步包括一對非分離的磊晶源極或汲極結構,其在水平奈米線之該垂直配置之第一及第二端處。
範例實施例8:  如範例實施例1、2、3、4、5或6之積體電路結構,其進一步包括一對分離的磊晶源極或汲極結構,其在水平奈米線之該垂直配置之第一及第二端處。
範例實施例9:  一種運算裝置包括一板、以及耦接至該板的一組件。該組件包括一積體電路結構,其包括一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁。一隔離結構係在該子鰭片結構之該頂部上及沿著該等側壁處。該隔離結構包括一第一介電材料,其包圍一第二介電材料之區域。水平奈米線之一垂直配置,其在該隔離結構位於該子鰭片結構之頂部表面上的一部分上。
範例實施例10:  範例實施例9之運算裝置,其更包括耦接至該板的一記憶體。
範例實施例11:  如範例實施例9或10之運算裝置,其進一步包括耦接至該板件的一通訊晶片。
範例實施例12:  如範例實施例9、10或11之運算裝置,其中該組件為一封裝積體電路晶粒。
範例實施例13:  範例實施例9、10、11或12之運算裝置,其中該組件係選自於由一處理器、一通訊晶片及一數位信號處理器所組成之群組。
範例實施例14:  一積體電路結構包括一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁。一隔離結構係在該子鰭片結構之該頂部上及沿著該等側壁處。水平奈米線之一垂直配置係在該隔離結構上且垂直地在該子鰭片結構之該頂部表面上方。一閘極端蓋結構係平行於該水平奈米線垂直配置。閘極端蓋結構之一部分係與該隔離結構在垂直方向上介於該子鰭片結構與該水平奈米線垂直配置之間的一部分連續。
範例實施例15:  如範例實施例14之積體電路結構,其中該閘極端蓋結構包括一上部部分,其係在與該隔離結構在垂直方向上介於該子鰭片結構與該水平奈米線垂直配置之間的該部分連續的該閘極端蓋結構之該部分上。
範例實施例16:  如範例實施例14或15之積體電路結構,其中該隔離結構沿著該子鰭片結構之該等側壁中之一第一側壁的一部分,係與該隔離結構沿著該子鰭片結構之該等側壁中之一第二側壁的一部分不連續。
範例實施例17:  如範例實施例14、15或16之積體電路結構,其進一步包括一閘極堆疊,其包圍該水平奈米線垂直配置之一通道區。
範例實施例18:  如範例實施例17之積體電路結構,其中該閘極堆疊包括一高k閘極介電層及一金屬閘極電極。
範例實施例19:  範例實施例14、15、16、17或18之積體電路結構,其進一步包括一對非分離的磊晶源極或汲極結構,其在該水平奈米線垂直配置之第一及第二端處。
範例實施例20:  範例實施例14、15、16、17或18之積體電路結構,其進一步包括一對分離的磊晶源極或汲極結構,其在該水平奈米線垂直配置之第一及第二端處。
範例實施例21:  一種運算裝置包括一板、以及耦接至該板的一組件。該組件包括一積體電路結構,其包括一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁。一隔離結構係在該子鰭片結構之該頂部上及沿著該等側壁處。水平奈米線之一垂直配置係在該隔離結構上且垂直地在該子鰭片結構之該頂部表面上方。一閘極端蓋結構係平行於該水平奈米線垂直配置。閘極端蓋結構之一部分係與該隔離結構在垂直方向上介於該子鰭片結構與該水平奈米線垂直配置之間的一部分連續。
範例實施例22:  範例實施例21之運算裝置,其更包括耦接至該板的一記憶體。
範例實施例23:  如範例實施例21或22之運算裝置,其進一步包括耦接至該板件的一通訊晶片。
範例實施例24:  如範例實施例21、22或23之運算裝置,其中該組件為一封裝積體電路晶粒。
範例實施例25:  範例實施例21、22、23或24之運算裝置,其中該組件係選自於由一處理器、一通訊晶片及一數位信號處理器所組成之群組。
100,200:起始堆疊 102,202,602,752,802:基體 104',204':經修改鰭片堆疊 104,204:鰭片堆疊,鰭片結構 106,206:子鰭片結構 108,208:最下釋放或犧牲層 110:交替奈米線層 112,212:釋放或犧牲層 114,124,214,222B,554:介電蓋體 116:第一介電襯墊 118:第二介電襯墊 120:第三介電襯墊 120':圖案化第三介電襯墊 120'':雙重圖案化第三介電襯墊 122,222A:犧牲硬遮罩材料 122':圖案化犧牲硬遮罩材料 122'':雙重圖案化犧牲硬遮罩材料 124':圖案化介電蓋體 126,222C:光柵遮罩 128,224:襯墊 128':圖案化襯墊 130:(第一)介電材料 130':第一介電材料,凹陷化介電材料 132:介電填充材料,第二介電材料 132':第二介電材料,凹陷化介電填充材料 210:交替奈米線層,水平奈米線 220:間隔件介電質 220':圖案化間隔件介電質 220'':雙重圖案化間隔件介電質 222A':圖案化犧牲硬遮罩材料 222B':圖案化介電蓋體 224':圖案化襯墊 230:空腔 231,232:介電材料 234:介電材料,上部部分 300,400,600,700,750,800:積體電路結構 302:(半導體)基體 304,604,754:鰭片 306:奈米線或奈米帶 308,408A/408B:閘極堆疊 308A:閘極電極 308B,552:閘極介電層 310:源極或汲極結構 312,412:介電間隔件 314:源極或汲極接點 320,450:洩漏路徑 406:水平奈米線 408A:金屬閘極電極 408B:高k閘極介電層 410:磊晶源極或汲極結構 414:介電材料,頂部傳導接點 416:介電層或蝕刻終止層 420:表面 440,408C:位置 499,599,622,772,802C:局部隔離結構 500:積體電路結構,半導體結構或裝置 504:(基體)鰭片,突出(鰭片)部分,上部鰭片部分,非平面作用區 504A,504B,605,755,804A,804B,804C:奈米線 505:鰭片,子鰭片區 506:溝槽隔離區 508:閘極堆疊結構,閘極圖案,閘極線 514:閘極接點 516:上覆閘極接觸通孔 540:缺陷修改層 542:鬆弛緩衝層 550:閘極電極(層) 560:上覆金屬互連件 570:層間介電堆疊或層 580:介面 606,756:總量 608,758:隔離結構 620,770,802B:生長增強層 630,632,634,780,782,784,899:層級 760:隔離SAGE壁 762:閘極端蓋間隔 802A:下部基體部分 804:(矽)奈米線 806:通道區 808:閘極電極堆疊 810,812:源極或汲極區 814:接點 816:間隔件 900:運算裝置 902:板 904:處理器 906:(第一/二)通訊晶片 1000:中介件 1002:第一基體 1004:第二基體 1006:球柵陣列(BGA) 1008:金屬互連件 1010:通孔 1012:穿矽通孔(TSV) 1014:嵌入裝置
圖1A-1Q根據本揭露內容之一實施例,描繪表示在製造一具有鰭片堆疊隔離之閘極全包圍式積體電路結構之方法中的各種操作的橫截面圖。
圖2A-2K根據本揭露內容之另一實施例,描繪表示在製造一具有鰭片堆疊隔離之閘極全包圍式積體電路結構之另一方法中的各種操作的橫截面圖。
圖3繪示一比較性閘極全包圍式積體電路結構的一橫截面圖。
圖4根據本揭露內容之一實施例,描繪一具有鰭片堆疊隔離之閘極全包圍式積體電路結構的橫截面圖。
圖5根據本揭露內容之一實施例,描繪沿著一閘極線所截取的一非平面積體電路結構的橫截面圖。
圖6根據本揭露內容之一實施例,描繪通過一非端蓋架構之奈米線及鰭片所截取的一橫截面圖。
圖7根據本揭露內容之一實施例,描繪通過一自對準閘極端蓋(SAGE)架構之奈米線及鰭片所截取的一橫截面圖。
圖8A根據本揭露內容之一實施例,描繪一基於奈米線之積體電路結構的三維橫截面視圖。
圖8B根據本揭露內容之一實施例,描繪圖8A之基於奈米線之積體電路結構沿一a-a'軸所截取的橫截面源極或汲極視圖。
圖8C根據本揭露內容之一實施例,描繪圖8A之基於奈米線之積體電路結構沿b-b'軸所截取的橫截面通道視圖。
圖9例示根據本揭露內容之實施例的一實現態樣之運算裝置。
圖10例示包括本揭露內容之一或多個實施例的一中介件。
102:基體
104':經修改鰭片堆疊
106:子鰭片結構
116:第一介電襯墊
130':第一介電材料,凹陷化介電材料
132':第二介電材料,凹陷化介電填充材料

Claims (25)

  1. 一種積體電路結構,其包含: 一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁; 一隔離結構,其在該子鰭片結構之該頂部上及沿著該子鰭片結構之該等側壁處,其中該隔離結構包含一第一介電材料,其包圍一第二介電材料之區域;以及 水平奈米線之一垂直配置,其係在該隔離結構位於該子鰭片結構之該頂部表面上的一部分上。
  2. 如請求項1之積體電路結構,其中該隔離結構沿著該子鰭片結構之該等側壁的一部分具有一頂部表面,其與該隔離結構位於該子鰭片結構之該頂部表面上的該部分之一頂部表面為共面。
  3. 如請求項1之積體電路結構,其中該隔離結構沿著該子鰭片結構之該等側壁的一部分具有一頂部表面,其在該隔離結構位於該子鰭片結構之該頂部表面上的該部分之一頂部表面上方。
  4. 如請求項1之積體電路結構,其中該隔離結構沿著該子鰭片結構之該等側壁的一部分具有一頂部表面,其在該隔離結構位於該子鰭片結構之該頂部表面上的該部分之一頂部表面下方。
  5. 如請求項1之積體電路結構,其更包含: 一閘極堆疊,其包圍水平奈米線之該垂直配置之一通道區。
  6. 如請求項5之積體電路結構,其中該閘極堆疊包含一高k閘極介電層及一金屬閘極電極。
  7. 如請求項1之積體電路結構,其更包含: 一對非分離的磊晶源極或汲極結構,其在水平奈米線之該垂直配置之第一端及第二端處。
  8. 如請求項1之積體電路結構,其更包含: 一對分離的磊晶源極或汲極結構,其在水平奈米線之該垂直配置之第一端及第二端處。
  9. 一種運算裝置,其包含: 一板;以及 耦接至該板的一組件,該組件包括一積體電路結構,其包含: 一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁; 一隔離結構,其在該子鰭片結構之該頂部上及沿著該子鰭片結構之該等側壁處,其中該隔離結構包含一第一介電材料,其包圍一第二介電材料之區域;以及 水平奈米線之一垂直配置,其係在該隔離結構位於該子鰭片結構之該頂部表面上的一部分上。
  10. 如請求項9之運算裝置,其進一步包含: 耦接至該板的一記憶體。
  11. 如請求項9之運算裝置,其進一步包含: 耦接至該板的一通訊晶片。
  12. 如請求項9之運算裝置,其中該組件為一封裝積體電路晶粒。
  13. 如請求項9之運算裝置,其中該組件係選自於由一處理器、一通訊晶片及一數位信號處理器所組成之群組。
  14. 一種積體電路結構,其包含: 一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁; 一隔離結構,其在該子鰭片結構之該頂部上及沿著該子鰭片結構之該等側壁處; 水平奈米線之一垂直配置,其在該隔離結構上且垂直地在該子鰭片結構之該頂部表面上方;以及 一閘極端蓋結構,其與水平奈米線之該垂直配置平行,其中該閘極端蓋結構之一部分係與該隔離結構在垂直方向上介於該子鰭片結構與水平奈米線之該垂直配置之間的一部分連續。
  15. 如請求項14之積體電路結構,其中該閘極端蓋結構包含一上部部分,其係在與該隔離結構在垂直方向上介於該子鰭片結構與水平奈米線之該垂直配置之間的該部分連續的該閘極端蓋結構之該部分上。
  16. 如請求項14之積體電路結構,其中該隔離結構沿著該子鰭片結構之該等側壁中之一第一側壁的一部分係與該隔離結構沿著該子鰭片結構之該等側壁中之一第二側壁的一部分不連續。
  17. 如請求項14之積體電路結構,其進一步包含: 一閘極堆疊,其包圍水平奈米線之該垂直配置之一通道區。
  18. 如請求項17之積體電路結構,其中該閘極堆疊包含一高k閘極介電層及一金屬閘極電極。
  19. 如請求項14之積體電路結構,其進一步包含: 一對非分離的磊晶源極或汲極結構,其在水平奈米線之該垂直配置之第一端及第二端處。
  20. 如請求項14之積體電路結構,其進一步包含: 一對分離的磊晶源極或汲極結構,其在水平奈米線之該垂直配置之第一端及第二端處。
  21. 一種運算裝置,其包含: 一板;以及 耦接至該板的一組件,該組件包括一積體電路結構,其包含: 一基體上之一子鰭片結構,該子鰭片結構具有一頂部及側壁; 一隔離結構,其在該子鰭片結構之該頂部上及沿著該子鰭片結構之該等側壁處; 水平奈米線之一垂直配置,其在該隔離結構上且垂直地在該子鰭片結構之該頂部表面上方;以及 一閘極端蓋結構,其與水平奈米線之該垂直配置平行,其中該閘極端蓋結構之一部分係與該隔離結構在垂直方向上介於該子鰭片結構與水平奈米線之該垂直配置之間的一部分連續。
  22. 如請求項21之運算裝置,其進一步包含: 耦接至該板的一記憶體。
  23. 如請求項21之運算裝置,其進一步包含: 耦接至該板的一通訊晶片。
  24. 如請求項21之運算裝置,其中該組件為一封裝積體電路晶粒。
  25. 如請求項21之運算裝置,其中該組件係選自於由一處理器、一通訊晶片及一數位信號處理器所組成之群組。
TW109139971A 2020-03-27 2020-11-16 具有鰭片堆疊隔離之閘極全包圍式積體電路結構 TW202137557A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/833,208 2020-03-27
US16/833,208 US11594637B2 (en) 2020-03-27 2020-03-27 Gate-all-around integrated circuit structures having fin stack isolation

Publications (1)

Publication Number Publication Date
TW202137557A true TW202137557A (zh) 2021-10-01

Family

ID=77659074

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109139971A TW202137557A (zh) 2020-03-27 2020-11-16 具有鰭片堆疊隔離之閘極全包圍式積體電路結構

Country Status (4)

Country Link
US (2) US11594637B2 (zh)
KR (1) KR20210120811A (zh)
DE (1) DE102020132236A1 (zh)
TW (1) TW202137557A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11678492B2 (en) * 2020-06-18 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, semiconductor device and manufacturing method of the memory device
US20230126135A1 (en) * 2021-10-25 2023-04-27 Intel Corporation Forksheet transistor with asymmetric dielectric spine

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8106459B2 (en) * 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
CN102104069B (zh) * 2009-12-16 2012-11-21 中国科学院微电子研究所 鳍式晶体管结构及其制作方法
US8269209B2 (en) * 2009-12-18 2012-09-18 Intel Corporation Isolation for nanowire devices
US8466028B2 (en) * 2011-06-30 2013-06-18 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing multigate device
US9035430B2 (en) * 2012-08-29 2015-05-19 International Business Machines Corporation Semiconductor fin on local oxide
US8987823B2 (en) * 2012-11-07 2015-03-24 International Business Machines Corporation Method and structure for forming a localized SOI finFET
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US8969155B2 (en) * 2013-05-10 2015-03-03 International Business Machines Corporation Fin structure with varying isolation thickness
KR102130056B1 (ko) * 2013-11-15 2020-07-03 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
CN103915504B (zh) * 2014-04-04 2017-07-18 唐棕 一种鳍型半导体结构及其成型方法
KR102158963B1 (ko) * 2014-05-23 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9735153B2 (en) * 2014-07-14 2017-08-15 Samsung Electronics Co., Ltd. Semiconductor device having fin-type field effect transistor and method of manufacturing the same
US9349658B1 (en) * 2015-01-29 2016-05-24 Globalfoundries Inc. Methods of forming fin isolation regions on finFET semiconductor devices using an oxidation-blocking layer of material
KR102342847B1 (ko) * 2015-04-17 2021-12-23 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN106298921B (zh) * 2015-06-01 2019-07-02 中芯国际集成电路制造(上海)有限公司 半导体器件、鳍式场效应晶体管及其形成方法
US10510848B2 (en) * 2015-06-24 2019-12-17 Intel Corporation Sub-fin sidewall passivation in replacement channel FinFETS
WO2016209253A1 (en) * 2015-06-26 2016-12-29 Intel Corporation Transistor fin formation via cladding on sacrifical core
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9748404B1 (en) * 2016-02-29 2017-08-29 International Business Machines Corporation Method for fabricating a semiconductor device including gate-to-bulk substrate isolation
US11152362B2 (en) * 2016-11-10 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US10535680B2 (en) * 2017-06-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method with hybrid orientation for FinFET
US10515952B2 (en) * 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10497624B2 (en) * 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11380803B2 (en) * 2017-10-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10756204B2 (en) * 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US10978356B2 (en) * 2019-05-10 2021-04-13 International Business Machines Corporation Tri-layer STI liner for nanosheet leakage control
US11139379B2 (en) * 2020-01-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same

Also Published As

Publication number Publication date
DE102020132236A1 (de) 2021-09-30
US20230163215A1 (en) 2023-05-25
US20210305430A1 (en) 2021-09-30
KR20210120811A (ko) 2021-10-07
US11594637B2 (en) 2023-02-28

Similar Documents

Publication Publication Date Title
TW202105676A (zh) 具有嵌入式GeSnB源極或汲極結構的環繞式閘極積體電路結構
US20210202478A1 (en) Gate-all-around integrated circuit structures having low aspect ratio isolation structures and subfins
TW202125823A (zh) 具有絕緣基體之閘極全包圍式積體電路結構
CN114256232A (zh) 具有间隔体沉积前切割栅极的全环栅集成电路结构的制造
US20210202696A1 (en) Gate-all-around integrated circuit structures having removed substrate
US20230163215A1 (en) Gate-all-around integrated circuit structures having fin stack isolation
US20240006504A1 (en) Gate-all-around integrated circuit structures having adjacent structures for sub-fin electrical contact
TW202125831A (zh) 使用替代的蝕刻選擇性材料所製造之閘極全包圍式積體電路結構
US20240145471A1 (en) Gate-all-around integrated circuit structures having devices with source/drain-to-substrate electrical contact
US20240055497A1 (en) Gate-all-around integrated circuit structures having adjacent deep via substrate contacts for sub-fin electrical contact
EP4202995A1 (en) Integrated circuit structures having dielectric gate plug landed on dielectric anchor
EP3971953A1 (en) Fabrication of gate-all-around integrated circuit structures having adjacent island structures
US20230093657A1 (en) Integrated circuit structures having dielectric gate wall and dielectric gate plug
US20220392898A1 (en) Integrated circuit structures having cut metal gates
US20230187494A1 (en) Integrated circuit structures having maximized channel sizing
US20230187515A1 (en) Integrated circuit structures having versatile channel placement
US20240154037A1 (en) Integrated circuit structures having dielectric anchor and confined epitaxial source or drain structure
US20240145568A1 (en) Integrated circuit structures having dielectric anchor void
US20220392840A1 (en) Conductive via structures for gate contact or trench contact
US20240105804A1 (en) Integrated circuit structures having fin isolation regions bound by gate cuts
US20230317808A1 (en) Integrated circuit structures having differentiated channel sizing
US20240113111A1 (en) Integrated circuit structures having fin isolation regions recessed for gate contact
US20230207455A1 (en) Integrated circuit structure having anti-fuse structure
US20220399333A1 (en) Integrated circuit structures having metal gates with reduced aspect ratio cuts
TW202325650A (zh) 用於先進積體電路結構的跨接閘極