TW202137295A - 具有選擇性心軸形成的多重圖案化 - Google Patents

具有選擇性心軸形成的多重圖案化 Download PDF

Info

Publication number
TW202137295A
TW202137295A TW110101038A TW110101038A TW202137295A TW 202137295 A TW202137295 A TW 202137295A TW 110101038 A TW110101038 A TW 110101038A TW 110101038 A TW110101038 A TW 110101038A TW 202137295 A TW202137295 A TW 202137295A
Authority
TW
Taiwan
Prior art keywords
mandrel
layer
patterned
spacers
forming
Prior art date
Application number
TW110101038A
Other languages
English (en)
Inventor
凱蒂 露特克李
安潔莉 萊利
本田昌伸
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202137295A publication Critical patent/TW202137295A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Document Processing Apparatus (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)

Abstract

形成裝置的方法包括使用極紫外(EUV)微影處理以在基板上方形成經圖案化光阻層。該方法包括透過在該經圖案化光阻層上方選擇性沉積心軸材料以在電漿處理腔室中形成心軸,該心軸包括該經圖案化光阻層及該心軸材料。

Description

具有選擇性心軸形成的多重圖案化
本發明整體係關於半導體製造,且在特定實施例中係關於具有選擇性心軸(mandrel)形成的多重圖案化。 [相關申請案的交互參照]
本申請案是主張2020年1月14日提交的美國臨時專利申請案第62/960,958號之優先權,上述申請案係將其整體內容作為參考文獻而併入本文中。
通常,透過依序在半導體基板上方沉積介電材料、導電材料、及半導體材料的層體、使用光微影術對該等層體進行圖案化、以及進行蝕刻而形成電路構件及內連線元件(例如,電晶體、電阻器、電容器、金屬線、接點、及通孔)所用的結構,藉以製造如積體電路(IC)的半導體裝置。在各個相繼的技術節點中,係縮減特徵部尺寸使構件堆疊密度約呈雙倍。將解析度較高的圖案進行印製的一直接方法係減低光源的波長。在250 nm及130 nm節點中用於曝光臨界圖案的248 nm深紫外(DUV)輻射源(KrF雷射)係被90 nm節點中開始啟用的193 nm ArF雷射所取代。低至35 nm的特徵部可使用具有解析度增強技術的193 mm微影術,如沉浸式微影術,而加以印製。193 nm的光學系統係進一步擴展至使用多重圖案化技術的14 nm、甚至10 nm節點,但具有與額外遮罩相關的較高成本及處理複雜度。在次10 nm節點體系中,DUV可被甚至更短的13.5 nm波長的極紫外(EUV)技術所替代。雖然EUV存在著利用較少遮罩而達成高解析度的潛力,但其必須將微影系統的所有構件(輻射源、掃描機、遮罩、及光阻)集合在一起以克服各構件的工程障礙(engineering hurdle)。其中一個主要問題在於受EUV輻射曝光的光阻對於機率性效應(stochastic effect)具敏感性,而在極小面積及細線的印製中造成隨機性失靈。在例如次10 nm節點設計的較小特徵部中會使這些效應放大。為了在高容量半導體IC製造中成功佈署EUV微影術,故需要在此領域中的進一步創新。
根據本發明之實施例,形成裝置的方法包括使用極紫外(EUV)微影處理以在基板上方形成經圖案化光阻層。該方法包括透過在該經圖案化光阻層上方選擇性沉積心軸材料以在電漿處理腔室中形成心軸,該心軸包括該經圖案化光阻層及該心軸材料。
根據本發明之實施例,自對準多重圖案化處理包括在基板上取得待圖案化層。該方法包括使用極紫外(EUV)微影處理在該待圖案化層上方形成經圖案化光阻層;在電漿處理腔室內,於該經圖案化光阻層上方選擇性地沉積心軸材料以形成心軸。該方法包括在該電漿處理腔室內沿著該心軸形成複數間隔物;在該電漿處理腔室內,於形成該等間隔物後移除該心軸,其中沉積該心軸材料、形成該等間隔物、移除該心軸係在單一處理步驟中執行。該方法包括將該等間隔物使用作為硬遮罩而對該待圖案化層進行圖案化,以形成特徵部。
根據本發明之實施例,自對準多重圖案化處理包括在基板上方取得待圖案化層。該方法包括使用微影處理在該待圖案化層上方形成經圖案化光阻層;在電漿處理腔室中,執行循環式處理以形成包括該經圖案化光阻層的心軸,該循環式處理包括在該經圖案化光阻層及該待圖案化層上方沉積心軸材料之層體,以及執行修整處理以將與該待圖案化層接觸之該心軸材料的該層體之部分選擇性移除。該方法包括在該電漿處理腔室中,沿著該心軸形成複數間隔物;在該電漿處理腔室中,在形成該等間隔物後移除該心軸。該方法包括將該等間隔物使用作為蝕刻遮罩,對該待圖案化層進行圖案化,而形成特徵部。
電子裝置的各種實施例之結構、方法、及使用係詳細描述於下。然而,應當重視的是,本文中所詳細描述的各種實施例係可應用於各種領域中。本文中所描述的具體實施例僅係為說明製造及使用各種實施例的特定方式,而不應被視為限制其範圍。
在自對準多重圖案化處理中,心軸係用於形成與心軸對準的複數側壁間隔物。接著,將該等側壁間隔物係使用作為蝕刻遮罩以對下方層進行圖案化。這能夠在該下方層中形成比心軸之臨界尺寸較薄的特徵部,其中該臨界尺寸可能會受限於特定系統的微影限制。然而,如進一步解釋,心軸的高度決定該等側壁間隔物的品質。若心軸的高度過小,則無法形成可靠的側壁間隔物。
如進一步描述於下的本發明實施例係使用選擇性沉積處理以提高心軸的高度。本發明之實施例將使用圖2A-7B、及圖8-10的流程圖而加以討論。
圖1A-1C係根據習知處理流程而繪示半導體裝置的橫剖面圖。
請參照圖1A,在此處理階段,經圖案化EUV光阻層106係形成於基板101上方,該基板101具有一層體堆疊,該層體堆疊包括下方層102、心軸材料層103、光學平坦化層(OPL)104、及抗反射塗層(ARC)遮罩層105。
在EUV微影術中使用的較短13.5 nm波長可提供高解析度的單一圖案化能力,例如僅使用單一遮罩印製13 nm光阻線及間隔(26 nm節距)的密集陣列。相對地,使用多重圖案化技術的193 nm DUV微影術可能要使用二至四遮罩以達成相等的解析度。預計的是,在7 nm節點下製造傳統的IC設計將係難以接受地長且昂貴的處理,其中需要多於80的DUV 193 nm遮罩;反之EUV可將遮罩總數降低至約60遮罩的較能夠管理範圍。然而,如本發明所屬技術領域中具有通常知識者所知,EUV技術具有數個由短13.5 nm波長的高能量光子所衍生的工程難題。本揭露係描述方法實施例以減輕其中的一些問題。
利用14.3倍之較高EUV光子能量(92 eV對上6.4 eV)的一問題係在用以界定經曝光區域之邊緣的固定曝光處所可利用的相對較低光子數量。舉例來說,對於15 mJ/cm2 的曝光,面積1 nm2 的EUV光阻平均僅暴露於10個光子,而相較之下193 nm DUV光阻係143個光子。如進一步解釋於下,這會減低所形成之該EUV光阻層106的厚度、以及其他問題,例如提高散粒雜訊。
較小的劑量可能會導致較大雜訊,而觀察到品質差的光阻圖案。這係由於一些區域可能會隨機接收到多於平均的光子數量,而其他區域則可能接受較少。此外,當掃描機步進於晶粒之間時,晶圓上的各晶粒之相同區域可能會隨機接收到不同的曝光。光子總數中的隨機變動(亦稱為散粒雜訊)係遵循蒲松過程(Poisson process),而在相同曝光層級下,在EUV微影術中造成相較於DUV具有√(14.3)、或約3.8倍大的百分比變動(均方根值與平均值的比率(σ/μ))的曝光波動。曝光波動對於經曝光圖案的影響隨著特徵部尺寸縮小而加劇。在光子與光阻交互作用以產生化學反應之區域中的隨機性係轉變為經曝光線之邊緣的對應模糊。這種解析度損失對於EUV(相較於DUV)係更為嚴重的,原因在於光子-光阻交互作用的該等位置之間的平均距離係隨著光子密度減低而提升。
與高光子能量相關的另一問題在於光子吸收事件(於該光阻、或該光阻下方之層體內)係伴隨著高能光電子的產生,所述高能光電子迅速地串跌(cascade)為較低能量電子的二次發射。當這些電子在機率性處理中沿著隨機軌跡(經常稱其為隨機漫步)被散射至周圍時,其中一些電子可能會在該光阻中明顯遠於吸收原始光子之處造成化學反應。因此,在超出原始圖案所界定之邊界外的區域上可能存在著隨機性二次曝光。這種二次曝光係造成隨機圖案的另一機率性處理,其中所述隨機圖案係疊加在從光子-光阻之交互作用所專屬產生的影像上。
如本文所述,雖然形成厚的EUV光阻層106係具有其優勢,但存在著因涉及EUV光阻膜係相對薄所致的取捨。舉例來說,提高該光阻厚度可能會垂直地造成不均勻曝光,而這並非係所期望的。由於光子被光阻材料吸收,故於DUV輻射曝光期間的輻射強度係隨著穿透深度而逐漸減低。在對該光阻進行顯影後,朝著底部逐漸減低的曝光劑量可能會造成非期望的傾斜光阻輪廓。此外,較厚的光阻意味著較少到達底部區域的光子,而因此非期望地增強散粒雜訊。垂直不均勻性的程度還取決於光阻材料的光子吸收率;較高吸收率使不均勻性提高。然而,由於EUV光子的低數量,EUV光阻的吸收率可保持在足夠高以在合理的曝光劑量下提供足夠的敏感性。過量的曝光劑量可能會導致各種問題,例如過熱、及釋氣(outgassing)。該厚度還可能受限於高深寬比下的光阻塌陷、及光阻條帶的考量。
使用EUV光阻層106的薄層會對於所可形成之心軸的厚度產生限制。這係因為在後續對心軸進行圖案化的蝕刻處理期間,該EUV光阻層106的部分將會被蝕刻。
接著如圖1B中所繪示,在執行一系列非等向性蝕刻處理後形成心軸11。
接下來請參照圖1C,間隔物材料12係沿著該等心軸11並於該等心軸11上方形成,接著進行蝕刻處理以形成側壁間隔物。將該等心軸移除,並且可用於對下方層102進行圖案化。側壁厚度界定出在多重圖案化處理中的後續圖案化步驟期間所產生之下方特徵部的臨界尺寸。然而,該等心軸對於該間隔物材料12必須要足夠高,以形成如圖1C中假設情況下所繪示的均勻厚度側壁。否則,該間隔物材料12的厚度會沿著垂直方向變化,而這將會轉移至在該下方層102中所形成的圖案內。
然而,實務上,在形成極端尺寸特徵部中所使用的極紫外輻射之短波長會限制該等心軸11的高度。因此,無法形成具有均勻厚度的側壁間隔物(厚度未沿著該等心軸11之側壁變化)。另外,在心軸拉除(mandrel pull)期間的蝕刻選擇性亦可能成為問題。
此外,心軸沉積及間隔物沉積係由一系列的處理步驟所分隔,包括微影所用之上方層的沉積、微影處理、及後續的蝕刻處理。因此,這些步驟必須在不同工具,至少係在不同設備腔室內進行加工。
本發明的實施例藉由使用將該心軸之深寬比加以改善的選擇性沉積處理,以在EUV過後協助所輸入的光阻進行重新塑形。實施例係透過從光阻直接形成心軸,而並非如圖1A至1B所繪示之蝕穿一系列層體的習知處理,藉以達成這些改善。
圖2A至7B係依據本發明之實施例而繪示各種加工階段期間的半導體裝置,其中圖2A至7A係繪示橫剖面圖,而圖2B至7B係繪示俯視圖。
圖2A及2B係依據本發明之實施例而繪示加工期間在待圖案化層202上方形成經圖案化光阻層203過後的半導體裝置,其中圖2A係繪示橫剖面圖,而圖2B係繪示俯視圖。
請參照圖2A,在此處理階段的半導體裝置包括半導體基板201,其中待圖案化層202已被沉積於該半導體基板201上方,接著將經圖案化光阻層203形成在該待圖案化層202上方。由於心軸係藉由選擇性沉積而非蝕刻處理而直接地形成,因此可避免使用一些附加層,例如圖1A中所顯示的蝕刻停止部。
在本發明的實施例中,因為經圖案化光阻將會提供基礎結構使心軸生長及成形於其上方,故形成該經圖案化光阻層203係形成心軸的先行步驟。本文中將會描述與此心軸形成處理相關的細節,原因在於其係與本發明的實施例有關。
在本發明的實施例中,該半導體基板201可包括矽、矽鍺、矽碳化物、及化合物半導體,例如鎵氮化物、鎵砷化物、銦砷化物、銦磷化物等。該半導體基板201可包括半導體晶圓,該半導體晶圓可包括半導體磊晶層,且該半導體磊晶層包括異質磊晶層(hetero epitaxial layer)。舉例來說,在一或更多實施例中,可在半導體基板上方形成一或更多包括化合物半導體的異質磊晶層。在各種實施例中,該半導體基板201的一部分或整體可為非晶形、多晶形、或單晶形的。在各種實施例中,該半導體基板201可為經摻雜的、未經摻雜的、或是包含經摻雜及未經摻雜區域兩者。在一些實施例中,該半導體基板201得已包括先前經圖案化層、及該待圖案化層202的介電質堆疊。
該待圖案化層202可為膜堆疊,並可包括一或更多硬遮罩層。在各種實施例中,該待圖案化層202可包括介電及/或導體材料的膜,所述材料例如為矽氧化物、矽氮化物、矽氮氧化物、矽碳化物、鈦氮化物、鉭氮化物、其合金、及其組合。在一些實施例中,該待圖案化層202可為在後續蝕刻步驟中使用作為硬遮罩過後被移除的犧牲層。在一實施例中,該待圖案化層202還例如可包括金屬間介電質(IMD),該IMD包括低介電常數的介電材料,且該半導體基板201可包括複數內連線層面,所述內連線層面包括具有嵌入式導電內連線元件的介電質膜,所述導電內連線元件係形成在單晶主體半導體、或絕緣體上半導體(SOI)晶圓上方,其中在所述單晶主體半導體、或SOI晶圓中可製造各種主動裝置。
在本發明的實施例中,可使用適合沉積材料的任何技術來沉積該待圖案化層202。其例如可包括但不限於原子層沉積(ALD)、化學氣相沉積(CVD)、低壓CVD(LPCVD)、電漿增強CVD(PECVD)、半大氣CVD(semi-atmosphere CVD, SACVD)、高密度電漿CVD(HDPCVD)、快速熱CVD(RTCVD)、超高真空CVD(UH-VCVD)、受限反應處理CVD(LRPCVD)、金屬有機CVD(MOCVD)、濺鍍沉積、離子束沉積、電子束沉積、雷射輔助沉積、熱氧化、熱氮化、旋轉塗佈方法、物理氣相沉積(PVD)、化學氧化、分子束磊晶(MBE)、電鍍、及/或蒸鍍。
在各種實施例中,可使用EUV微影處理或電子束微影處理來形成該經圖案化光阻層203。
根據本發明的一或更多實施例,可藉由將EUV敏感光阻膜的包覆層沉積在層體上以形成該經圖案化光阻層203,所述層體例如為圖2A中所繪示的該待圖案化層202。在一實施例中,該EUV敏感光阻膜可為對於波長範圍為10 nm至約14 nm(通常為13.5 nm)的EUV輻射敏感的有機光阻膜、或金屬氧化物光阻膜。
在一或更多實施例中,可將該EUV敏感光阻膜沉積或塗覆在該待圖案化層202上方。可將該EUV敏感光阻膜的該包覆層沉積至所需的高度/厚度H203。在各種實施例中,該EUV敏感光阻膜的該高度H203可為約10 nm至約30 nm,而在一實施例中約為25 nm。如上所述,該EUV敏感光阻膜的該高度H203係受限於顯影所用的輻射之性質、及其與該EUV敏感光阻膜的交互作用。
接著,透過標準EUV微影圖案化技術對該EUV敏感光阻膜的該包覆層進行圖案化,以形成該經圖案化光阻層203,該經圖案化光阻層203係包括圖2A中繪示的複數特徵部。在該EUV敏感光阻膜中經圖案化的該複數特徵部可具有該層所需的臨界尺寸或寬度W203,如圖2A所顯示。在各種實施例中,該寬度W203可為約5 nm至約30 nm,且在一實施例中約為20 nm。在另一實施例中,該寬度W203可為約5 nm至約15 nm,且在一實施例中約為10 nm。舉例來說,該寬度W203可為在顯影過後藉由微影系統對該EUV敏感光阻膜所能達成的臨界尺寸。
在各種實施例中,該高度H203對該寬度W203的比率係約1:1至約1:10。對於側壁間隔物隨著高度降低/深寬比增加而未能均勻地進行沉積係存在許多原因。這些原因中的許多者係與蝕刻處理有關,例如選擇性、圖案塌陷、圖案擺動等。
該經圖案化光阻層203的複數特徵部可提供用於後續心軸生長/形成的初始基部或結構基礎。與習知處理不同的是,在各種實施例中,心軸形成處理包括微影處理,隨後係選擇性沉積處理、或循環式沉積/修整處理,如將進一步敘述之。
在上述示例中,係將該經圖案化光阻層203敘述為在多重圖案化技術的微影步驟中形成。在其他示例中,可將該經圖案化光阻層203形成作為後續的中間特徵,例如形成作為節距倍增(pitch doubling)的結果。然而,在各種實施例中,該經圖案化光阻層203係一特徵部,其具有最小特徵部尺寸的臨界尺寸,其中該最小特徵部尺寸僅能以(直接地、或間接地)使用極紫外(EUV)微影術或電子束微影術的微影處理所獲得。
圖3A及3B係依據本發明之實施例而繪示加工期間在該經圖案化光阻層203上沉積心軸材料205之層體過後的半導體裝置,其中圖3A係繪示橫剖面圖,而圖3B係繪示俯視圖。
請參照圖3A,在一實施例中,該心軸材料205係沉積在該經圖案化光阻層203上方。在一實施例中,該沉積處理可涉及遍及該半導體基板201的該心軸材料205之包覆式沉積。
在各種實施例中,該心軸材料205可包括硬遮罩材料、軟遮罩材料、或光阻材料。在一實施例中,該心軸材料205包括多孔矽、或本技術領域中習知之任何其他類型的犧牲材料。該心軸材料205還可包括電漿聚合有機膜、或介電質膜。
在各種實施例中,可使用電漿沉積處理以執行該包覆式沉積。在一實施例中,可將原子層沉積處理用以沉積該心軸材料205。
由於該包覆式沉積處理,所以對於完美的保形處理,該複數特徵部的寬度W206係增加了在該經圖案化光阻層203之側壁上所形成之該心軸材料205之該層體的厚度的大約兩倍。該複數特徵部的高度H206係因為該經圖案化光阻層203上方的該心軸材料205之該層體的該厚度而增加。
在一或更多實施例中,可使用部分選擇性沉積處理或高選擇性沉積處理以沉積該心軸材料205,其中在該經圖案化光阻層203上係比經曝光的該待圖案化層202上沉積較多的該心軸材料205。舉例來說,在一實施例中,該心軸材料205對於沉積在該經圖案化光阻層203上具有較大的選擇性,而因此擁有較高的傾向,以比起該待圖案化層202之頂表面而將較多的該心軸材料205沿著該經圖案化光阻層203之頂表面進行沉積。
圖4A及4B係依據本發明之實施例而繪示加工期間在對心軸材料的層體進行修整過後的半導體裝置,其中圖4A係繪示橫剖面圖,而圖4B係繪示俯視圖。
在本發明的實施例中,該選擇性沉積處理可任選地涉及修整階段。該修整階段係任選的,並可在使用部分選擇性沉積處理、或甚至保形沉積處理以沉積該心軸材料205時予以使用。於是,本發明的一些實施例可包括沉積階段,隨其後為修整階段。該沉積階段及該修整階段可為循環式的,以達到期望高度及臨界尺寸(CD)。
在該修整階段過後,該心軸材料205被移除,暴露該經圖案化光阻層203之側壁及該待圖案化層202之頂表面。於是,有利地,能夠將臨界尺寸調整成所形成之心軸的臨界尺寸、或是小於/大於所形成之心軸的臨界尺寸。舉例來說,在一實例中,所形成之心軸的臨界尺寸並未增加,而該處理係保持先前步驟的臨界尺寸。然而,在一些替代實施例中,可在該修整階段過後保留該經圖案化光阻層203之側壁上的一些心軸材料205(例如,數十奈米的薄層)。藉由移除側壁的一部分,此處理的實施例可助於使該等側壁平滑化,並使線邊緣粗糙度及線寬粗糙度減低。
當使用部分選擇性沉積以形成該心軸材料205時,位於該經圖案化光阻層203之側壁上、及該待圖案化層202上方的該心軸材料205之厚度,係較薄於該經圖案化光阻層203上方的該心軸材料205之厚度。因此,在一實施例中,定時的等向性蝕刻處理可用以將該心軸材料205從該經圖案化光阻層203之側壁上及該待圖案化層202上移除。
或者,該修整階段可包括非等向性及等向性蝕刻的組合。舉例來說,在轉換為非等向性蝕刻之前,該修整階段可任選地從等向性蝕刻階段開始進行。
或者,在另一實施例中,該修整階段可僅包括移除該心軸材料205的非等向性蝕刻處理。在本發明的各種實施例中,該修整階段可包括非等向性蝕刻處理,例如反應性離子蝕刻(RIE)、或本技術領域中習知的任何其他移除處理。
在本發明的各種實施例中,可在該沉積階段的反覆進行(iteration)後執行該修整階段。在本發明的實施例中,得以循環方式重複執行該沉積階段及隨後的該修整階段。舉例來說,一循環可包括單一沉積階段至指定高度H206,隨後為修整階段至指定寬度W208。由於該修整階段期間的任選過度蝕刻,可將所形成之心軸的高度減低至H208。根據實施例,當完成一循環時,可開始進行另一循環直到達成所形成之心軸的所需最終高度及CD。
根據本發明的實施例,該修整階段還可涉及平滑化元件,使得各反覆式步驟涉及該心軸的重新塑形以將沿著表面及邊緣的粗糙度消除。在本發明的實施例中,在該經圖案化光阻層203上的心軸形成處理期間,可同時對逐漸形成之心軸的臨界尺寸(CD)、線邊緣粗糙度(LER)、及線寬粗糙度(LWR)進行控制。如先前所述,這可在電漿處理腔室內發生。在本發明的各種實施例中,可額外地對該CD進行修整,以達到校正尺寸的目標(例如,為了對抗節距擺動(pitch walking))。有利地,這種修整可在將該心軸之深寬比提高的同時間進行。因此,此處理係能夠同時進行該心軸的CD控制及粗糙度改善。
圖5A及5B係依據本發明之實施例而繪示加工期間在達成該心軸之所需CD(即,高度及寬度)過後的半導體裝置,其中圖5A係繪示橫剖面圖,而圖5B係繪示俯視圖。
如圖5A所繪示,在重複進行沉積及修整階段的複數循環後而形成心軸207。在圖5A中,得自反覆式循環(即,沉積及修整階段)的各層體係透過將該心軸207區分的黑線而繪示於示意圖中。
在重複進行循環過後,該心軸207的最終CD係達到標示為H209的所需高度、以及所需寬度W209。在本發明的各種實施例中,該心軸207之高度H209對於該心軸207之寬度W209的比率係介於10:1至20:1之間。在本發明的各種實施例中,該心軸207可因此生長至一高度,其為約30 nm至60 nm的最終高度,而在一實施例中其為約40 nm至約50 nm。
如所進一步描述之製造處理而將會明暸的是,該心軸207係用以形成蝕刻/硬遮罩的中間結構。另外,可將心軸207用以界定相鄰特徵部之間的距離,所述特徵部例如係蝕刻/硬遮罩或其他心軸207。
在各種實施例中,可將該心軸207用於自對準雙重圖案化(SADP)、自對準四重圖案化(SAQP)、或任何其他多重圖案化技術中。
此外,本發明的實施例允許在相同電漿處理腔室中能夠執行圖3A至6B中繪示的裝置製造處理步驟,而有利地改善半導體裝置整合的複雜性。於是,如圖所示,形成該心軸207、沉積該間隔物材料211、接著蝕刻該間隔物材料211、以及移除該心軸207均係位於單一電漿處理腔室中。除了改善處理整合之外,還改善生產時間、成本、及圖案轉移效能。在本文所述之優勢係為數眾多的同時,所述使用本發明之實施例的選擇性沉積及修整處理係能夠將自對準多重圖案化(SAMP)使用於EUV微影術上。
如先前所述,該心軸形成處理(即,生長及修整)及間隔物沉積可在單一電漿沉積處理中形成。或者,在一些實施例中,可使用上述如化學氣相沉積、原子層沉積等方法以執行該間隔物沉積處理。因此,在本發明的實施例中,在沉積期間可導引不同氣體以改變沉積條件。或者,可透過控制或改變在電漿處理腔室所施加的偏壓/偏壓計畫,而較動態地改變該處理化學。因此,在一些實施例中,可基於蝕刻化學品的性質而在心軸材料的複數層體之間建立成分梯度。
在各種實施例中,可循環進行圖3A至3B、及圖4A至4B中所述的處理,以製造圖5A至5B中繪示的特徵部。
雖然上方敘述係聚焦在包括沉積及修整的循環式處理,但在替代性實施例中,可使用單一步驟的選擇性沉積處理而直接地生長心軸材料205的層體。由於在該待圖案化層202上方存在沉積物,故使用該修整階段。在部分選擇性沉積的情況下,可使用較小數量的修整循環。
圖6A及6B係依據本發明之實施例而繪示加工期間在該心軸207上方沉積間隔物材料211過後的半導體裝置,其中圖6A係繪示橫剖面圖,而圖6B係繪示俯視圖。
在各種實施例中,可使用保形沉積處理以沉積該間隔物材料211,所述保形沉積處理例如係原子層沉積(ALD)、或在半導體裝置製造中使用的任何其他保形沉積技術。該間隔物材料211可包括矽氧化物(SiOx)、矽氮化物、鈦氮化物、鈦氧化物、或本技術領域中所習知的任何其他氧化物類型、或絕緣材料。
在各種實施例中,用以沉積該間隔物材料211的ALD加工步驟可為利用侵略性較小的氧化劑(例如,O3 、H2 O、H2 O2 、熱O2 等)進行沉積的處理,其中所述氧化劑不會使鄰近的光阻或該心軸207受損。
圖7A及7B係依據本發明之實施例而繪示加工期間在多重圖案化處理過後的半導體裝置,其中圖7A係繪示橫剖面圖,而圖7B係繪示俯視圖。
請參照圖7A,使用非等向性蝕刻處理對該間隔物材料211進行蝕刻,並接著移除該心軸207以暴露位於下方且在此時進行圖案化的該待圖案化層202。在各種實施例中,可使用濕式蝕刻處理以移除該心軸207。或者,可使用如反應性離子蝕刻(RIE)的非等向性電漿蝕刻處理以移除該心軸207,使所有蝕刻處理可在單一電漿蝕刻腔室中執行。該非等向性蝕刻處理可為定時的、或停止於下方(任選的)蝕刻停止層。在蝕刻過後,可移除該間隔物蝕刻/硬遮罩的任何剩餘部分。
在本發明的各種實施例中,可因此將剩餘的該間隔物材料211使用作為蝕刻遮罩,以將該待圖案化層202圖案化成為複數特徵部212。隨著此時將該心軸207移除,係留下獨立式間隔物(free standing spacers)作為蝕刻/硬遮罩,以對位於該等間隔物下方的該下方待圖案化層202進行蝕刻。在蝕刻期間,係將該等間隔物所留下未經暴露的該待圖案化層202的任何暴露區域移除,而留下複數特徵部212。
在各種實施例中,可形成該複數特徵部212做為多重圖案化處理的部分,其中所述多重圖案化處理例如為自對準雙重圖案化(SADP)、自對準四重圖案化(SAQP)、或本技術領域中所習知的任何其他多重圖案化技術。在各種實施例中,形成自此多重圖案化技術的該複數特徵部212可為接觸孔、金屬線、閘極線、隔離區域、及使用自對準多重圖案化微影處理所形成的其他這種特徵。
後續處理可如習知處理流程執行,而形成後續特徵部及包括所有主動及被動裝置的最終半導體裝置,其中所述主動及被動裝置係包括金屬層以將這些裝置進行互連。
有利地,使用本發明的實施例可在單一處理配方中執行上述步驟的子集合。原因在於可透過簡單地改變電漿化學品而迅速地從沉積轉變為蝕刻。舉例來說,在一實施例中,可在單一處理步驟中執行圖3A至5B中繪示的該心軸材料之選擇性沉積、圖6A至6B及圖7A至7B中繪示的該複數間隔物之形成、及/或圖7A至7B中繪示的該心軸之移除。在進一步實施例中,圖7A至7B中繪示的該待圖案化層202之圖案化亦可為同一處理配方的一部分,並且在同一處理腔室中執行。
如圖所示,在各種實施例中,可使用Cx Hy /N2 /Ar/He/SiCl4 前驅物以執行該心軸材料205的沉積,伴隨包括CO2 /CO/CH4 /O2 /N2 /H2 /氟碳化物/HBr/Cl等的修整步驟。在各種實施例中,可選擇上述處理,例如包括沉積及修整的心軸沉積、間隔物沉積、間隔物蝕刻、心軸拉除,以實質類似地改善生產量。舉例來說,本發明的實施例包括藉由將該等氣體其中一者移除、及/或(同時)迅速地切換偏壓計畫,以從沉積切換成蝕刻。在一說明性實施例中,該心軸可例如為使用Cx Hy 類型前驅物,伴隨如Ar、N2 、He、H2 的稀釋氣體,及伴隨使用Cx Hy 、CO、CO2 、Ar、He、N2 、H2 、O2 的修整化學品而形成的有機材料,故可透過如N2 、H2 、CO2 、CO、O2 、Ar、He類型化學品、或替代性濕式化學品的灰化化學品藉以完成該心軸移除。
圖8根據本發明之實施例而繪示用於形成半導體裝置之方法的流程圖。該方法300包括在基板上方沉積光阻層(框310)、以及使用EUV微影處理對光阻層進行圖案化(框320),例如係使用圖2A至2B所描述。接下來,在該經圖案化光阻層上方形成心軸(框330),例如係使用圖3A至5B所描述。於該心軸的側壁上形成複數間隔物(框340),例如係使用圖6A至6B所描述。接著將該心軸移除並留下該複數間隔物(框350),例如係使用圖7A至7B所描述。接下來,將該複數間隔物使用作為蝕刻遮罩,以對該基板的層體進行圖案化(框360),例如係使用圖7A至7B所描述。在一或更多實施例中,該心軸材料的選擇性沉積、該複數間隔物的形成、該等間隔物的回蝕、及該心軸的移除係在單一處理步驟中執行。
圖9根據本發明之另一實施例而繪示用於形成半導體裝置之方法的流程圖。該方法400包括在基板上取得待圖案化層(框410)。接下來,使用EUV微影處理在該待圖案化層上方形成經圖案化光阻層(框420),例如係使用圖2A至2B所描述。接著,在該經圖案化光阻層上方沉積該心軸材料以形成心軸(框430),例如係使用圖3A至5B所描述。接下來,沿著該心軸形成間隔物(框440),例如係使用圖6A至6B所描述。接著,將該心軸移除(框450),例如係使用圖7A至7B所描述。接下來,可將該等間隔物使用作為硬遮罩而對該待圖案化層進行圖案化,以形成特徵部(框460),例如係使用圖7A至7B所描述。
圖10根據本發明之另一實施例而繪示用於形成半導體裝置之方法的流程圖。該方法500包括在基板上取得待圖案化層(框510)。接下來,使用微影處理在該待圖案化層上方形成經圖案化光阻層(框520),例如係使用圖2A至2B所描述。接著,執行循環式處理以形成該心軸(框530),該處理包括沉積心軸材料的層體(框531)、以及執行修整處理(框532),例如係使用圖3A至4B所描述。該循環式處理可包括將該沉積及該執行重複進行,其中當該心軸之高度比該心軸之寬度的比率係介於2:1至20:1之間時,可停止該重複進行。接下來,可沿著該心軸而形成複數間隔物(框540),例如係使用圖6A至6B所描述。在形成該等間隔物後,可將該心軸移除(框550),例如係使用圖7A至7B所描述。接下來,可將該等間隔物使用作為蝕刻遮罩而對該待圖案化層進行圖案化,以形成特徵部(框560),例如係使用圖7A至7B所描述。
於是,如上所述,在習知技術中,在光阻未能形成至足夠高度時係將該光阻使用作為單獨的心軸材料。然而,這種技術係不足夠以形成深尺度的特徵部,其中所述深尺度特徵部係使用極紫外微影術或電子束微影術而形成。為了達成間隔物沉積(通常接續在心軸形成後的後續半導體處理步驟),必須使該經圖案化光阻達到足夠的高度。如上所述,光阻額度(即,可容許的限度/限制)並不允許達成這種高度,因此限制或排除將經EUV圖案化光阻使用作為心軸的單獨材料之可能性。這是因為EUV光阻通常可達成的高度約為10 nm至約30 nm,而這對於當前的半導體製造需求係不足夠的。為了在該心軸上達成足夠的間隔物沉積,本發明之實施例使用選擇性心軸生長及修整處理,其將所輸入的光阻輪廓使用作為心軸生長的基底特徵。根據本發明的各種實施例,當心軸材料被沉積至所輸入的光阻輪廓上,可均在同一電漿處理腔室內將該心軸材料同時地重新塑形及平滑化,並任選地作為同一處理的一部分。
此處整合本發明的示例性實施例。其他實施例亦可從本說明書的整體及本文申請的申請專利範圍而得知。
示例1。一種形成裝置的方法,該方法包括使用極紫外(EUV)微影處理以在基板上方形成經圖案化光阻層;透過在該經圖案化光阻層上方選擇性沉積心軸材料以在電漿處理腔室中形成心軸,該心軸包括該經圖案化光阻層及該心軸材料。
示例2。示例1的方法,更包括:在該電漿處理腔室內,於該心軸的側壁上形成複數間隔物;在該電漿處理腔室內,移除該心軸而留下該複數間隔物;以及將該複數間隔物使用作為蝕刻遮罩而對該基板的層體進行圖案化,以形成該裝置的特徵部。
示例3。示例1或2之其中一者的方法,其中選擇性沉積該心軸材料、形成該複數間隔物、移除該心軸係在單一處理步驟中執行。
示例4。示例1至3之其中一者的方法,其中該心軸之高度比該心軸之寬度的比率係介於2:1至20:1之間。
示例5。示例1至4之其中一者的方法,其中選擇性沉積該心軸材料係包括:在該基板上方沉積該心軸材料的層體;以及執行修整處理以選擇性地移除與該基板接觸之該心軸材料的該層體之部分。
示例6。示例1至5之其中一者的方法,更包括重複進行該層體的沉積及該修整處理的執行。
示例7。示例1至6之其中一者的方法,其中該心軸材料包括光阻材料、矽、有機材料、或介電材料。
示例8。示例1至7之其中一者的方法,更包括:藉由沉積間隔物材料的層體並對該間隔物材料之層體進行非等向性蝕刻、或是在該電漿處理腔室內原位地使用原子層沉積類型處理,而在該電漿處理腔室中的該心軸之側壁上形成複數間隔物。
示例9。示例1至8之其中一者的方法,其中選擇性沉積該心軸材料係包括:在單一處理步驟中,在該經圖案化光阻層上方沉積該心軸材料。
示例10。一種自對準多重圖案化處理,包括在基板上取得待圖案化層;使用極紫外(EUV)微影處理在該待圖案化層上方形成經圖案化光阻層;在電漿處理腔室內,於該經圖案化光阻層上方選擇性地沉積心軸材料以形成心軸;在該電漿處理腔室內,沿著該心軸形成間隔物;在該電漿處理腔室內,於形成該等間隔物後移除該心軸,其中沉積該心軸材料、形成該等間隔物、移除該心軸係在單一處理步驟中執行;以及將該等間隔物使用作為硬遮罩而對該待圖案化層進行圖案化,以形成特徵部。
示例11。示例10的處理,其中選擇性沉積該心軸材料係包括:在該經圖案化光阻層及該待圖案化層上方沉積該心軸材料的層體;以及執行修整處理,以將與該待圖案化層接觸之該心軸材料的該層體之部分選擇性移除。
示例12。示例10或11之其中一者的處理,更包括重複進行該層體之沉積及該修整處理之執行。
示例13。示例10至12之其中一者的處理,其中該心軸材料包括光阻材料。
示例14。示例10至13之其中一者的處理,其中該心軸材料包括矽、有機材料、或介電材料。
示例15。示例10至14之其中一者的處理,其中形成該等間隔物包括:沉積間隔物材料之層體、以及非等向性地蝕刻該間隔物材料之層體。
示例16。示例10至15之其中一者的處理,其中形成該等間隔物包括使用熔合類型(fusion type)處理。
示例17。一種自對準多重圖案化處理,包括在基板上方取得待圖案化層;使用微影處理在該待圖案化層上方形成經圖案化光阻層;在電漿處理腔室中,執行循環式處理以形成包括該經圖案化光阻層的心軸,該循環式處理包括在該經圖案化光阻層及該待圖案化層上方沉積心軸材料之層體、以及執行修整處理以將與該待圖案化層接觸之該心軸材料的該層體之部分選擇性移除;在該電漿處理腔室中,沿著該心軸形成複數間隔物;在該電漿處理腔室中,在形成該等間隔物後移除該心軸;以及將該等間隔物使用作為蝕刻遮罩以對該待圖案化層進行圖案化,而形成特徵部。
示例18。示例17的處理,其中該循環式處理包括重複進行該沉積及該執行,其中當該心軸之高度比該心軸之寬度的比率介於2:1至20:1之間時停止該重複進行。
示例19。示例17或18之其中一者的處理,其中該循環式處理包括重複進行該層體之沉積及該修整處理之執行。
示例20。示例17至19之其中一者的處理,其中該心軸材料包括矽、光阻材料、有機材料、或介電材料。
示例21。示例17至20之其中一者的處理,其中形成該等間隔物包括:沉積間隔物材料之層體,並且非等向性地蝕刻該間隔物材料之層體;或是在該電漿處理腔室中原位地使用原子層沉積類型處理。
雖然係參照說明性實施例而描述本發明,但此實施方式並非意旨於被視為限制性含意。在參照本實施方式後,該等說明性實施例的修改及組合、以及本發明的其他實施例對於本發明所屬技術領域中具有通常知識者來說將係顯而易知的。因此,其意旨的是隨附申請專利範圍係含括任何的這種修改例或實施例。
11:心軸 12:間隔物材料 101:基板 102:下方層 103:心軸材料層 104:光學平坦化層(OPL) 105:抗反射塗層(ARC)遮罩層 106:經圖案化EUV光阻層 201:半導體基板 202:待圖案化層 203:經圖案化光阻層 205:心軸材料 207:心軸 211:間隔物材料 212:特徵部 300:方法 310~360:框 400:方法 410~460:框 500:方法 510~560:框 H203, H206, H208, H209:高度 W203, W206, W208, W209:寬度
為了更完整理解本發明及其優點,現將參照下方的實施方式結合隨附之圖式,其中:
圖1A-1C係根據習知處理流程而繪示半導體裝置的橫剖面圖;
圖2A及2B係依據本發明之實施例而繪示加工期間在待圖案化層202上方形成經圖案化光阻層203過後的半導體裝置,其中圖2A係繪示橫剖面圖,而圖2B係繪示俯視圖;
圖3A及3B係依據本發明之實施例而繪示加工期間在該經圖案化光阻層203上沉積心軸材料205之層體過後的半導體裝置,其中圖3A係繪示橫剖面圖,而圖3B係繪示俯視圖;
圖4A及4B係依據本發明之實施例而繪示加工期間在對心軸材料的層體進行修整過後的半導體裝置,其中圖4A係繪示橫剖面圖,而圖4B係繪示俯視圖;
圖5A及5B係依據本發明之實施例而繪示加工期間在達成該心軸之所需CD(即,高度及寬度)過後的半導體裝置,其中圖5A係繪示橫剖面圖,而圖5B係繪示俯視圖;
圖6A及6B係依據本發明之實施例而繪示加工期間在該心軸207上方沉積間隔物材料211過後的半導體裝置,其中圖6A係繪示橫剖面圖,而圖6B係繪示俯視圖;
圖7A及7B係依據本發明之實施例而繪示加工期間在多重圖案化處理過後的半導體裝置,其中圖7A係繪示橫剖面圖,而圖7B係繪示俯視圖;
圖8根據本發明之實施例而繪示用於形成半導體裝置之方法的流程圖;
圖9根據本發明之另一實施例而繪示用於形成半導體裝置之方法的流程圖;
圖10根據本發明之另一實施例而繪示用於形成半導體裝置之方法的流程圖。
該等圖式並不需要按尺寸繪示。該等圖式僅係作為表示,而並非意旨於描繪本發明的具體參數。該等圖式僅係意旨於繪示本發明的特定實施例,因此不應被視為限制其範圍。在該等圖式中,相似數字代表相似元件。
300:方法
310~360:框

Claims (20)

  1. 一種半導體裝置的形成方法,該方法包括: 使用極紫外(EUV)微影處理以在基板上方形成經圖案化光阻層;以及 透過在該經圖案化光阻層上方選擇性沉積心軸材料以在電漿處理腔室中形成心軸,該心軸包括該經圖案化光阻層及該心軸材料。
  2. 如請求項1所述之半導體裝置的形成方法,更包括: 在該電漿處理腔室內,於該心軸的複數側壁上形成複數間隔物; 在該電漿處理腔室內,移除該心軸而留下該複數間隔物;以及 將該複數間隔物使用作為蝕刻遮罩而對該基板的層體進行圖案化,以形成該裝置的特徵部。
  3. 如請求項2所述之半導體裝置的形成方法,其中選擇性沉積該心軸材料、形成該複數間隔物、移除該心軸係在單一處理步驟中執行。
  4. 如請求項1所述之半導體裝置的形成方法,其中該心軸之高度比該心軸之寬度的比率係介於2:1至20:1之間。
  5. 如請求項1所述之半導體裝置的形成方法,其中選擇性沉積該心軸材料係包括: 在該基板上方沉積該心軸材料的層體;以及 執行修整處理以選擇性地移除與該基板接觸之該心軸材料的該層體之部分。
  6. 如請求項5所述之半導體裝置的形成方法,更包括重複進行該層體的沉積及該修整處理的執行。
  7. 如請求項1所述之半導體裝置的形成方法,其中該心軸材料包括光阻材料、矽、有機材料、或介電材料。
  8. 如請求項1所述之半導體裝置的形成方法,其中選擇性沉積該心軸材料係包括: 在單一處理步驟中,在該經圖案化光阻層上方沉積該心軸材料。
  9. 一種自對準多重圖案化處理,包括: 在基板上取得待圖案化層; 使用極紫外(EUV)微影處理在該待圖案化層上方形成經圖案化光阻層; 在電漿處理腔室內,於該經圖案化光阻層上方選擇性地沉積心軸材料以形成心軸; 在該電漿處理腔室內,沿著該心軸形成複數間隔物; 在該電漿處理腔室內,於形成該等間隔物後移除該心軸,其中沉積該心軸材料、形成該等間隔物、移除該心軸係在單一處理步驟中執行;以及 將該等間隔物使用作為硬遮罩而對該待圖案化層進行圖案化,以形成特徵部。
  10. 如請求項9所述之自對準多重圖案化處理,其中選擇性沉積該心軸材料係包括: 在該經圖案化光阻層及該待圖案化層上方沉積該心軸材料的層體;以及 執行修整處理,以將與該待圖案化層接觸之該心軸材料的該層體之部分選擇性移除。
  11. 如請求項10所述之自對準多重圖案化處理,更包括重複進行該層體之沉積及該修整處理之執行。
  12. 如請求項9所述之自對準多重圖案化處理,其中該心軸材料包括光阻材料。
  13. 如請求項9所述之自對準多重圖案化處理,其中該心軸材料包括矽、有機材料、或介電材料。
  14. 如請求項9所述之自對準多重圖案化處理,其中形成該等間隔物包括: 沉積間隔物材料之層體、以及非等向性地蝕刻該間隔物材料之層體。
  15. 如請求項9所述之自對準多重圖案化處理,其中形成該等間隔物包括使用熔合類型(fusion type)處理。
  16. 一種自對準多重圖案化處理,包括: 在基板上方取得待圖案化層; 使用微影處理在該待圖案化層上方形成經圖案化光阻層; 在電漿處理腔室中,執行循環式處理以形成包括該經圖案化光阻層的心軸,該循環式處理包括 在該經圖案化光阻層及該待圖案化層上方沉積心軸材料之層體,以及 執行修整處理以將與該待圖案化層接觸之該心軸材料的該層體之部分選擇性移除; 在該電漿處理腔室中,沿著該心軸形成複數間隔物; 在該電漿處理腔室中,在形成該等間隔物後移除該心軸;以及 將該等間隔物使用作為蝕刻遮罩以對該待圖案化層進行圖案化,而形成特徵部。
  17. 如請求項16所述之自對準多重圖案化處理,其中該循環式處理包括重複進行該沉積及該執行,其中當該心軸之高度比該心軸之寬度的比率介於2:1至20:1之間時,停止該重複進行。
  18. 如請求項16所述之自對準多重圖案化處理,其中該循環式處理包括重複進行該層體之沉積及該修整處理之執行。
  19. 如請求項16所述之自對準多重圖案化處理,其中該心軸材料包括矽、光阻材料、有機材料、或介電材料。
  20. 如請求項16所述之自對準多重圖案化處理,其中形成該等間隔物包括: 沉積間隔物材料之層體,並且非等向性地蝕刻該間隔物材料之層體;或是 在該電漿處理腔室中原位地使用原子層沉積類型處理。
TW110101038A 2020-01-14 2021-01-12 具有選擇性心軸形成的多重圖案化 TW202137295A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062960958P 2020-01-14 2020-01-14
US62/960,958 2020-01-14

Publications (1)

Publication Number Publication Date
TW202137295A true TW202137295A (zh) 2021-10-01

Family

ID=76763523

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101038A TW202137295A (zh) 2020-01-14 2021-01-12 具有選擇性心軸形成的多重圖案化

Country Status (5)

Country Link
US (1) US20210217614A1 (zh)
KR (1) KR20220126743A (zh)
CN (1) CN115088057A (zh)
TW (1) TW202137295A (zh)
WO (1) WO2021146123A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9419146B2 (en) * 2012-01-26 2016-08-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
WO2022005716A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
CN116504610B (zh) * 2023-06-21 2023-11-17 长鑫存储技术有限公司 掩模结构、图形形成方法及半导体结构的制备方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7030012B2 (en) * 2004-03-10 2006-04-18 International Business Machines Corporation Method for manufacturing tungsten/polysilicon word line structure in vertical DRAM
US8394723B2 (en) * 2010-01-07 2013-03-12 Lam Research Corporation Aspect ratio adjustment of mask pattern using trimming to alter geometry of photoresist features
US9123776B2 (en) * 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9443731B1 (en) * 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US10049892B2 (en) * 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
WO2018156794A1 (en) * 2017-02-22 2018-08-30 Tokyo Electron Limited Method for reducing lithography defects and pattern transfer
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10749007B2 (en) * 2018-03-14 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with desired profile for semiconductor devices
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
US10748769B2 (en) * 2018-05-09 2020-08-18 Tokyo Electron Limited Methods and systems for patterning of low aspect ratio stacks
KR102592922B1 (ko) * 2018-06-21 2023-10-23 삼성전자주식회사 기판 처리 장치, 신호 소스 장치, 물질막의 처리 방법, 및 반도체 소자의 제조 방법
US10770294B2 (en) * 2018-06-22 2020-09-08 Tokyo Electron Limited Selective atomic layer deposition (ALD) of protective caps to enhance extreme ultra-violet (EUV) etch resistance

Also Published As

Publication number Publication date
US20210217614A1 (en) 2021-07-15
CN115088057A (zh) 2022-09-20
KR20220126743A (ko) 2022-09-16
WO2021146123A1 (en) 2021-07-22

Similar Documents

Publication Publication Date Title
US9911646B2 (en) Self-aligned double spacer patterning process
TWI620995B (zh) 次解析度基板圖案化所用之蝕刻遮罩的形成方法
TW202137295A (zh) 具有選擇性心軸形成的多重圖案化
US9129839B2 (en) Method of fabricating a FinFET device
US8932957B2 (en) Method of fabricating a FinFET device
US9831117B2 (en) Self-aligned double spacer patterning process
US7659208B2 (en) Method for forming high density patterns
US9472414B2 (en) Self-aligned multiple spacer patterning process
US20200144061A1 (en) Euv pattern transfer with ion implantation and reduced impact of resist residue
US11837471B2 (en) Methods of patterning small features
US20140162458A1 (en) Methods of Forming A Pattern On A Substrate
US11037788B2 (en) Integration of device regions
TWI798746B (zh) 製造積體電路裝置的方法與金屬氧化物光阻層
US8124534B2 (en) Multiple exposure and single etch integration method
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
US9348230B2 (en) Method of manufacturing semiconductor device
TW200928589A (en) Method for manufacturing a semiconductor device
CN112670168B (zh) 半导体结构的形成方法、晶体管
TWI793908B (zh) 具有埋入字元線的半導體結構的其製備方法
TWI799305B (zh) 半導體元件的製造方法
US20240096640A1 (en) High Aspect Ratio Contact (HARC) Etch
CN114496772A (zh) 半导体结构的形成方法
CN114078693A (zh) 半导体结构及其形成方法
CN111863622A (zh) 一种自对准四重图形的制作方法
KR100833598B1 (ko) 반도체 소자의 제조방법