TW202115885A - 具有背側金屬接點及子鰭片區的無基材鰭式場效電晶體(finfet)二極體架構 - Google Patents

具有背側金屬接點及子鰭片區的無基材鰭式場效電晶體(finfet)二極體架構 Download PDF

Info

Publication number
TW202115885A
TW202115885A TW109116397A TW109116397A TW202115885A TW 202115885 A TW202115885 A TW 202115885A TW 109116397 A TW109116397 A TW 109116397A TW 109116397 A TW109116397 A TW 109116397A TW 202115885 A TW202115885 A TW 202115885A
Authority
TW
Taiwan
Prior art keywords
region
fin
conductive
type
regions
Prior art date
Application number
TW109116397A
Other languages
English (en)
Inventor
尼可拉斯 湯姆森
安雅 卡爾
卡利安 庫露路
納森 傑克
馬蕊
馬克 鮑爾
里沙 梅安卓
海樂蒂 勞
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202115885A publication Critical patent/TW202115885A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0641Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type
    • H01L27/0676Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type comprising combinations of diodes, or capacitors or resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0255Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using diodes as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0259Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using bipolar transistors as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0641Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region without components of the field effect type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0638Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for preventing surface leakage due to surface inversion layer, e.g. with channel stopper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/735Lateral transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/8611Planar PN junction diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/8613Mesa PN junction diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

實施例包括二極體裝置及電晶體裝置。一種二極體裝置包括一第一鰭片區,在一第一導電區及一絕緣體區上方;及一第二鰭片區,在一第二導電及絕緣體區上方,其中該第二鰭片區係橫向地相鄰於該第一鰭片區,及其中該絕緣體區係介於該第一導電區與該第二導電區之間。該二極體裝置包括一第一導電通孔,在該第一導電區上,其中該第一導電通孔係垂直地相鄰於該第一鰭片區;以及一第二導電通孔,在該第二導電區上,其中該第二導電通孔係垂直地相鄰於該第二鰭片區。該二極體裝置可包括導電接點、該第一鰭片區上之第一部分、該第二鰭片區上之第二部分、及介於該等第一及第二部分與該等導電接點之間的閘極電極。

Description

具有背側金屬接點及子鰭片區的無基材鰭式場效電晶體(FINFET)二極體架構
實施例係有關於電子結構及處理。更特別地,實施例係有關於電子結構,該等電子結構具有無基材鰭式場效電晶體(FinFET)二極體架構,其具有背側金屬接點及子鰭片區。
於過去數十年,積體電路(IC)中之特徵的擴縮(scaling)已是不斷成長的半導體工業背後之驅動力。擴縮至越來越小的特徵致能了半導體晶片之有限空間上的功能性單元之增加的密度。例如,縮小電晶體/二極體尺寸容許在晶片上結合增加數目的記憶體裝置,有助於製造容量提升的產品。然而,對於越來越多的容量之慾望並不是沒有問題的。將各裝置之性能最佳化的需求變得越來越重要。
通常用於互補金氧半導體(CMOS)之IC二極體(諸如金氧半導體(MOS)二極體裝置)通常係仰賴矽基材以提供從陽極區至陰極區之電流路徑。然而,近來已藉由移除其提供針對此類二極體之電流路徑的矽基材而滿足對擴縮IC的慾望。因此,在諸如矽絕緣體(SOI)裝置之現有無基材科技中,矽之大塊可藉由將鰭片留在絕緣體上而被完全地移除。這些SOI裝置中之靜電放電(ESD)電流因此常被含入鰭片內,因ESD電流從陽極區流至陰極區。
大ESD電流導致二極體內之極端溫度,其最終地導致失效。在傳統大塊二極體中,淺溝槽隔離(STI)形成介於陽極與陰極區之間的分離,造成電流路徑進入基材,其中所產生的熱具有待消散的大量。在傳統SOI二極體中,電流流動(及因此的熱產生)係發生在其具有小甚多的體積之鰭片中。再者,在鰭片底下之絕緣體對熱消散絕緣,導致在低甚多的電流密度之熱失效。
如此一來,為了獲得類似等級的ESD保護,額外SOI二極體將是需要的,藉此導致更大的面積利用、更高的電容性負載、及更靜態的電力消耗(由於反向偏壓漏電流)。此外,因為SOI二極體係仰賴多晶矽閘極以分離陽極與陰極區,所以一些電晶體洩漏機制(諸如次臨限電流機制)將適用。這些洩漏機制通常是比反向偏壓P/N接面洩漏機制更高了多個數量級,導致漏電流之實質上增加而進一步增加靜態電力消耗。
文中所述者係具有無基材FinFET二極體之電子結構以及形成此類電子結構之方法。以下所述之電子結構(或半導體封裝)及形成此類電子結構之方法包括一無基材FinFET二極體(或無基材FinFET晶粒上二極體),該無基材FinFET二極體具有子鰭片區/部分(例如,N型/P型子鰭片區)、鰭片區/部分(例如,N型/P型外延生長部分)、及背側通孔,依據一實施例。此外,於另一實施例中,以下所述之電子結構及形成此類電子結構之方法包括一無基材三終端雙極接面電晶體(BJT)(或無基材FinFET帶隙二極體),該無基材三終端雙極接面電晶體具有子鰭片區/部分(例如,N型/P型子鰭片區)、鰭片區/部分(例如,N型/P型外延生長部分)、射極/集極/基極區、及背側通孔。
文中所述之無基材二極體的實施例提供(i)致能更大熱散佈之子鰭片導電路徑;(ii)具有N型/P型摻雜物(或雜質)之子鰭片區,其可被外延地生長、植入、及/或類似者,且此類已摻雜子鰭片區可被各別地配置(或實施/形成)在已摻雜外延生長鰭片部分下面(或下方/底下)而無整體/基礎基材;及(iii)背側金屬層/通孔,其直接地耦合子鰭片區之底部至已外延生長鰭片部分上之頂部側導電接點。
再者,這些無基材二極體之熱利益可藉由以下方式來實施:使子鰭片(或鰭片)區與具有良好熱導電性之背側導電層(或背側金屬)直接接觸。在這些實施例中,背側接點及子鰭片區之存在被利用以提供增進的靜電放電(ESD)保護及對於現存橫向矽絕緣體(SOI)二極體的低洩漏替代物(如上所述)。
此外,無基材二極體之實施例包括超越現存處理科技的增進,藉由實施子鰭片及背側金屬接點,其大大地增加這些二極體的熱限制及各別電流攜載能力。此亦導致專用於ESD保護之較小的面積/空間、輸入/輸出(I/O)網之減少的電容性負載、及透過漏電流之減少的靜態電力消耗。子鰭片區亦允許淺隔離區實質上減少漏電流而不切斷從陽極區至陰極區的電流路徑。再者,無基材二極體之這些實施例致能利用簡化的ESD設計以將ESD電流直接引導至大背側導電層,其減少了壓降而因此致能此類二極體更有效地箝制電壓以導致更強韌的ESD設計。
於下列描述中,提出多項特定細節,諸如特定材料及加工階段,以提供本發明之實施例的透徹瞭解。熟悉此項技術人士將清楚本發明之實施例可被實行而無這些特定細節。於其他例子中,眾所周知的特徵(諸如積體電路設計佈局,諸如單或雙金屬鑲嵌處理)未被詳細地描述,以免非必要地混淆本發明之實施例。再者,應理解其圖形中所示之各個實施例為說明性表示且不一定依比例描繪。於某些情況下,各個操作將被描述為數個離散的操作,依序地,以一種最有助於瞭解本發明之方式,然而,描述之順序不應被視為暗示這些操作必定為順序相依的。特別地,這些操作無須以所提呈之順序來執行。
如文中所使用,術語「頂部」、「底部」、「上」、「下」、「最下」及「最上」(當使用在相對於一或更多元件的關係時)係意欲傳達相對而非絕對的物理組態。因此,被描述為一裝置中之「最上元件」或「頂部元件」的元件可替代地形成該裝置中之「最下元件」或「底部元件」的元件(當該裝置被反轉時)。類似地,被描述為該裝置中之「最下元件」或「底部元件」的元件可替代地形成該裝置中之「最上元件」或「頂部元件」的元件(當該裝置被反轉時)。
同樣地,某些詞語亦可被用於以下描述中以僅供參考之目的,而因此不意欲為限制性的。例如,諸如「較高」、「較低」、「上方」、「下方」、「底部」、及「頂部」等詞語係指圖形中所指涉的方向。諸如「前」、「後」、「後方」、及「側面」等詞語係描述恆定但任意之參考框架內的組件之部分的定向及/或位置,其係藉由參考描述討論中組件之文字及相關圖形而變得清楚明白。此類詞語可包括以上所明確地提及之字語、其衍生詞、及類似含義的字語。
文中所述之實施例可針對前段製程(FEOL)半導體處理及結構。FEOL是積體電路(IC)製造之第一部分,其中個別裝置(例如,電晶體、電容、電阻等等)被圖案化於半導體基材或層中。FEOL通常涵蓋直到(但不包括)金屬互連層之沈積的所有步驟。接續於最後FEOL操作後,其結果通常為具有隔離電晶體(例如,無任何佈線)之晶圓。
文中所述之實施例可針對後段製程(BEOL)半導體處理及結構。BEOL為IC製造之第二部分,其中個別裝置(例如,電晶體、電容、電阻等等)係與晶圓上之佈線(例如,一或更多金屬化層)互連。BEOL包括接點、絕緣層(電介質)、金屬階、及用於晶片至封裝連接之接合部位。於製造階段之BEOL部分中,接點(墊)、互連佈線、通孔及電介質結構被形成。針對現代IC製程,於BEOL中可加入多於10個金屬層。
以下所述之實施例可應用於FEOL處理及結構、BEOL處理及結構、或FEOL和BEOL處理及結構兩者。特別地,雖然範例處理方案可使用一種FEOL處理情境來闡述,但此類方式亦可應用於BEOL處理。同樣地,雖然範例處理方案可使用一種BEOL處理情境來闡述,但此類方式亦可應用於FEOL處理。
如文中所使用,術語金屬互連層、金屬線、互連線、軌線、佈線、導體、信號路徑、及發信媒體均相關且常可交換地使用。再者,如文中所使用,「金屬層」係指稱導電層,其可包括(但不限定於)軌線、佈線、線路、互連、平面、及任何其他導電形成。於某些範例中,導電/金屬層可為任何適當的金屬,諸如鋁(Al)、銅(Cu)、及/或Al與Cu之合金,其被使用為導體以提供用於耦合或互連電氣電路之信號路徑。此外,金屬層可包括金屬軌線(或金屬線/平面/墊)及耦合至金屬軌線之通孔,其中通孔(或接點)可指稱用以電耦合/連接來自不同金屬/互連位準的導體(諸如金屬軌線)之導電互連/結構。
文中所述之這些互補金氧半導體(CMOS)裝置可被實施在與IC相關的一或更多組件中及/或在各種此類組件之間。如文中所述,術語晶片、IC、單石裝置、半導體裝置、半導體封裝、及微電子裝置常被可交換地使用在半導體封裝領域中,而因此文中所述之實施例可應用於上述所有,如本領域中所已知者。於各個實施例中,與IC相關的組件包括(例如)電晶體、二極體、電源、電阻、電容、電感、感測器、收發器、接收器、天線等等。與積體電路相關的組件包括那些安裝於IC上者或者那些連接至IC者。IC可為類比或者為數位並可被使用於數種應用中,諸如微處理器、光電子裝置、邏輯區塊、音頻放大器等等,取決於與IC相關的組件。IC可被應用為晶片組之部分,用以執行在(例如)電腦中之一或更多相關功能。
此外,文中所述之實施例可被進一步實施在一或更多電子裝置中。可利用文中所述之科技的電子裝置之非限制性範例包括任何種類的行動裝置及/或固定裝置,諸如微電機系統(MEMS)為基的電系統、迴轉儀、先進驅動協助系統(ADAS)、5G通訊系統、相機、行動電話、電腦終端、桌上型電腦、電子閱讀器、傳真機、服務機台、小筆電、筆記型電腦、網際網路裝置、付款終端、個人數位助理、媒體播放器及/或記錄器、伺服器(例如,刀鋒型伺服器、機櫃安裝型伺服器、其組合等等)、機上盒、智慧型手機、平板個人電腦、極行動個人電腦、有線電話、其組合等等。此類裝置可為可攜式或固定式。於一些實施例中,文中所述之科技可被應用於桌上型電腦、膝上型電腦、智慧型手機、平板電腦、小筆電、筆記型電腦、個人數位助理、伺服器、其組合等等。更一般地,文中所述之科技可被應用於多種電子裝置之任一者,包括具有以下之電子裝置:無基材二極體(例如,橫向二極體、橫向雙極性二極體、垂直二極體、STI雙極性二極體等等)及/或具有N型鰭片區、P型鰭片區、背側通孔、及/或平行背側通孔的電晶體(例如,橫向三終端BJT、淺三終端BJT等等)。
於以下描述中,說明性實施方式的各個態樣將使用常由那些熟悉此技藝人士所運用的術語來描述,以將其工作的本質傳達給熟悉此技藝的其他人。然而,熟悉此項技術人士將清楚:本實施例可僅以所述態樣之部分來實行。為了解釋之目的,特定數字、材料及組態被提出以提供說明性實施方式之透徹瞭解。然而,熟悉此項技術人士將清楚本實施例可被實施而無該些特定細節。在其他例子中,眾所周知的特徵被省略或簡化以免混淆說明性實施方式。
各個操作將被描述為數個離散的操作,依序地,以一種最有助於瞭解本實施例之方式,然而,描述之順序不應被視為暗示這些操作必定為順序相依的。特別地,這些操作無須以所提呈之順序來執行。
如上所述,傳統二極體(諸如ESD二極體)仰賴矽基材以提供從陽極至陰極的電流路徑。在無基材科技中,隨著二極體裝置持續縮小,這些半導體基材及因此電流/導電路徑被移除。此產生用以建構這些二極體等等(例如,ESD二極體)之新穎且增進的方式之需求。因此,以下在圖1-7中所述之實施例包括數種二極體裝置/設計,其利用前文所強調的好處,包括ESD保護及/或類似者。例如,圖1-7中所示之這些二極體裝置的設計可致能:在ESD應力條件下之前端二極體中所觀察到的電阻值以及在熱失效前之此類二極體裝置中所觀察到的總攜載電流(因為這些觀察到的電阻值及電流測量值可由經暴露獲取的二極體擴散區域所正規化)超越現存的大塊二極體裝置及/或類似者。
以下在圖1-7中所闡明的實施例代表不同的方法,其中所強調的優點/利益可被利用以產生ESD無基材FinFET二極體裝置,其具有子鰭片/鰭片區和背側金屬接點(諸如陰極和陽極之背側金屬區)、以及背側通孔及/或平行背側通孔。在圖1-7之實施例中,顯示二鰭片二極體裝置,然而鰭片(或鰭片/子鰭片區)之數目可被增加/擴充(亦即,增加至多於2鰭片)以增進此二極體裝置之面積效率。類似地,相鄰背側金屬接點的數目、介於此類接點之間的空間、鰭片切割背側通孔的數目、及/或平行背側通孔的長度可被更改(或增加/減少)–不改變原有的設計-在圖1-7之二極體裝置中。同時,以下在圖1-7中所述之二極體裝置的性能可被調變(或最佳化)(i)藉由改變鰭片之背景摻雜物種/濃度/能量及/或鰭片之外延生長部分、及(ii)藉由改變鰭片中之摻雜的物種和濃度以因此反轉鰭片之極性(例如,可被實施以圖1-2之橫向二極體裝置)。此一實施例之範例被顯示在圖1-7之各者中。注意:在以下所述之實施例中,二極體裝置可被顯示,其中此繪示的二極體裝置可為更大完整陣列的二極體裝置之一小部分。
圖1-2繪示用以實施橫向二極體裝置的方法,該等橫向二極體裝置包括具有背側通孔及/或平行背側通孔之子鰭片導電。一開始,以下所述之橫向二極體裝置可具有一N型鰭片區(或N型鰭片),其被生長;且接著一P型鰭片區(或P型鰭片)可被植入在P型外延(epi)生長部分底下。若無此P型鰭片區植入,則陽極和陰極區之背側金屬將通過N型鰭片區而短路,且P型epi生長部分(或陽極之P-epi部分)將通過背側通孔而短路至N型鰭片區。此外,P/N二極體接面可被形成在N型鰭片與經植入P型鰭片之介面處,而經生長N型/P型epi部分可形成介於頂部側金屬接點與各別N型/P型鰭片之間的接點。最後,頂部側選路(或選路線)可將頂部側金屬接點耦合在一起,而背側通孔可因此切割各別N型/P型鰭片區並產生介於陽極和陰極區的各別頂部側epi部分與背側金屬之間的連接。圖2繪示使用平行背側通孔之一類似的橫向二極體。這些平行背側通孔係平行於鰭片區並可佔據介於鰭片群組之間的空間。在兩個實施例中,陽極和陰極區之背側金屬係直接地接觸鰭片區並可因此不行經鰭片區之長度,而不會產生介於陽極和陰極區之間的短路。介於背側金屬區之間的分離可被變更以針對熱量熱沈(thermal heat-sinking)取捨電容值。注意:在一些視圖中,鰭片、絕緣體、金屬、及通孔之部分可已被移除在此類裝置中,以利清楚呈現。
現在參考圖1,顯示二極體裝置100之橫斷面及各別頂部視圖圖示,依據一實施例。於一實施例中,二極體裝置100可為具有背側通孔之無基材FinFET橫向二極體(亦即,沒有半導體基材、大塊矽層、SOI基材等之橫向FinFET二極體設計)。二極體裝置100可包括N型鰭片區116及P型鰭片區118,其係配置在陰極區104、絕緣體區102、及陽極區106上方。針對一個實施例,複數N型外延(epi)生長部分126(於下文中稱為「N型epi部分」)、複數閘極電極114、及複數P型epi生長部分128(於下文中稱為「P型epi部分」),其被配置在N型鰭片區116及P型鰭片區118上方,其中閘極電極114之各者係置於(或配置於)N型/P型epi部分126及128的兩者之間(亦即,閘極電極114介於兩個N型epi部分126之間、兩個P型epi部分128之間、及/或一個N型epi部分126與一個P型epi部分128之間)。
於一些實施例中,複數導電接點112係配置在N型/P型epi部分126及128上方,以形成二極體裝置100之頂部側導電/金屬接點。導電接點112可被置於閘極電極114之間及/或於一個閘極電極114與複數背側通孔110的其中一個之間。背側通孔110可被垂直地配置在陰極區104及陽極區106上,其中背側通孔110被置為相鄰於N型/P型鰭片區116及118之外邊緣。
如上所述,於一些實施例中,二極體裝置100可將N型鰭片區116配置在絕緣體、陰極、及/或陽極區102、104、及106之上,而P型鰭片區118可被植入在P型epi部分128下面(或下方)(例如,如以下在圖10A-10C中更詳細地顯示/描述)。此經植入P型鰭片區118可協助導電地隔離陰極區104自陽極區106、以及導電地隔離P型epi部分128自陰極區104。此外,於一實施例中,二極體裝置100可包括複數選路線141-142以將頂部側導電接點112耦合在一起,其中(例如)選路線141可被用以將N型鰭片區116上方的導電接點112彼此耦合,而選路線142可被用以將P型鰭片區118上方的導電接點112彼此耦合。
於一些實施例中,二極體裝置100可為從電晶體裝置(或電晶體區塊/陣列)或者電晶體裝置之部分所實施的二極體單元。二極體裝置100可具有其可為了清晰理解之目的而被省略(或不顯示)的部分。於一實施例中,二極體裝置100可將絕緣體區102配置在陰極區104與陽極區106之間。於一實施例中,絕緣體區102可為由隔離材料(或電絕緣材料)所形成的隔離區/部分/層,其可包括任何適當的材料,其可隔離導電半導體組件(例如,N型/P型鰭片區、背側通孔等等)。亦即,於一些實施例中,絕緣體區102可作用以切斷並避免介於陰極104與陽極106的背側(或背端)導電(或金屬)區之間的短路,藉此隔離橫向二極體裝置100內之陰極區104與陽極區106。同時,於一實施例中,絕緣體區102具有分離陰極區104與陽極區106的寬度,其中絕緣體區102的寬度(介於背側金屬陰極與陽極區/分段之間的分離)可被變更以針對二極體裝置100之熱量熱沈取捨電容值。
於一些實施例中,絕緣體區102可包括玻璃、二氧化矽、電介質等等。電介質可為任何已知的電介質材料,諸如低k電介質材料。可被使用之電介質材料的範例包括(但不限定於)氧化矽、碳摻雜的氧化物(CDO)、氮化矽、有機聚合物(諸如全氟環丁烷及/或聚四氟乙烯)、氟矽酸鹽玻璃(FSG)、及/或有機矽酸鹽(諸如半矽氧烷、矽氧烷、及/或有機矽酸鹽玻璃)。
如圖1中所示,陰極和陽極區104和106被配置在背側通孔110和N型/P型鰭片區116和118底下。於一實施例中,陰極區104可係實施為二極體裝置100之陰極的背側導電/金屬層,而陽極區106可係實施為二極體裝置100之陽極的背側導電/金屬層。針對一個實施例,陰極和陽極區104和106可包括導電材料,諸如金屬等等。
於一些實施例中,二極體裝置100可使用FinFET科技來實施(或製造)。因此,如圖1中所示,二極體裝置100包括複數鰭片/子鰭片(或鰭片結構),其可被接著分離並實施成為N型鰭片區116及P型鰭片區118。在製造期間,鰭片結構可由配置在各別絕緣體、陰極、及/或陽極區102、104、及106上方之矽(矽為基等等)所形成,其係從二極體裝置100之一側/邊緣(例如,圖1之左側)連續地延伸至二極體裝置100之另一側/邊緣(例如,圖1之右側),依據一實施例。亦即,於一實施例中,鰭片結構可能已初始地(或原始地)延伸橫跨一整個二極體裝置/單元並持續延伸橫跨其他相鄰的二極體裝置/單元。於一實施例中,鰭片結構(亦即,單一連續鰭片)可被劃分為分離的鰭片結構(或鰭片部分/分段)以實施(或形成)N型鰭片區及P型鰭片區。
因此,於一些實施例中,二極體裝置100可具有配置在絕緣體、陰極、及/或陽極區102、104、及106上/上方之鰭片結構(等等),其中鰭片結構可被劃分為兩個群組的多個、約略相等數目之鰭片結構/分段/部分,一個群組用以在其上實施P型之植入區(諸如P型鰭片區118),而另一個群組用以在其上實施N型摻雜區(諸如N型鰭片區116)。例如,N型/P型鰭片區116及118可為矽為基的鰭片結構之鰭片部分,其可已被摻雜以N型及P型摻雜物(或雜質)來形成各別N型鰭片區116及P型鰭片區118,如圖1中所示。
如此一來,於一些實施例中,P型鰭片區118可包括本技術中已知的任何P型(或P+)摻雜物/雜質,諸如硼、BF2、鋁、鎵、銦等,而N型鰭片區116可包括本技術中已知的任何N型(或N+)摻雜物/雜質,諸如磷、砷、銻、鉍等。於一實施例中,鰭片結構(諸如N型/P型鰭片區116及118)可被製造,其係藉由利用適當的製程(包括沈積、光微影、及/或蝕刻製程)以實施其被配置在絕緣體、陰極、及陽極區102、104、及106上方之光抗蝕劑層及硬遮罩層;藉由圖案化並蝕刻其被沈積在絕緣體、陰極、及陽極區102、104、及106上方之矽為基的層;藉由使用雙圖案化微影(DPL)製程;及/或藉由類似製程。
在鰭片結構/分段之形成後,在N型epi部分126、P型epi部分128、及閘極電極114下面之N型/P型鰭片區116及118的區(或部分)可被稱為二極體裝置100之子鰭片區。而在N型/P型鰭片區116及118(或如上所稱之子鰭片區)的頂部表面之上的N型/P型epi部分126及128之部分(或區)可被稱為二極體裝置100之鰭片區(或部分)。
閘極電極114之各者可被置於(或配置於)N型/P型epi部分126及128的兩個之間。如圖1中所示,這些延長閘極電極114被配置在二極體裝置100之子鰭片區(諸如N型/P型鰭片區116及118)上方。閘極電極114係實質上相等間隔的且彼此平行的,並垂直於N型/P型鰭片區116及118而延伸。於針對FinFET二極體之文中所述的實施例中,閘極電極114可被實施為不活動區且被用以劃分延長已摻雜N型/P型epi區126及128。於一實施例中,閘極電極114可包括:閘極材料,諸如多晶矽或任何其他常用金屬,包括Ni、Ti、Ta、Hf、及其組合;金屬矽化物,諸如NiSi、MoSi、HfSi、其組合;及金屬氮化物,諸如TiN、TaN、HfN、HfAlN、MoN、NiAlN、及其組合。
閘極電極114可由本技術中已知的任何適當製程(包括沈積、光微影、圖案化、及蝕刻製程)所形成。用以形成閘極電極層之適當閘極材料的沈積可包括化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積(ALD)、分子束外延(MBE)、高密度電漿CVD(HDPCVD)、金屬有機CVD(MOCVD)、遠端電漿CVD(RPCVD)、電漿增強CVD(PECVD)、電鍍、任何其他適當製程、及/或其組合。再者,於一實施例中,閘極電介質層可藉由任何適當製程而被進一步配置(或形成)在閘極電極114與N型/P型鰭片區116及118之間。電介質材料可包括常用材料,諸如氧化物、氮化物、氧氮化物、及高k電介質,諸如Ta2 O5 、Al2 O3 、HfO、SiTiO3 、HfSiO、HfSiON、或其組合。於另一實施例中,閘極間隔物可被進一步配置(或形成)在閘極電介質層及閘極結構之一或更多側壁上。
如圖1之兩個圖示中所示,閘極電極114可被配置在延長N型/P型epi區126及128之間,其中N型/P型epi區126及128之兩者係由閘極電極114之一所分離。於一些實施例中,N型/P型epi部分126及128可各別地被外延地生長在N型鰭片區116及P型鰭片區118之頂部表面上/上方。於一實施例中,N型/P型epi部分126及128可經由選擇性外延生長製程而被形成。外延製程可包括具有氣相外延(VPE)之CVD及/或超高真空CVD(UHV-CVD)、PVD、MBE、HDPCVD、MOCVD、RPCVD、無電沈積、電鍍、本技術中已知的任何適當製程、及/或其組合。此一外延製程可使用任一氣體及/或液體先質。
於一實施例中,N型/P型epi部分126及128可以一或更多半導體材料形成,該半導體材料係相同於用以形成各別N型/P型鰭片區116及118之半導體材料。於另一實施例中,N型/P型epi部分126及128之該(等)半導體材料可不同於用以形成各別N型/P型鰭片區116及118之該(等)半導體材料。例如,epi部分(例如,N型/P型epi部分126及128)之半導體材料可包括矽鍺(SiGe)及/或矽碳(SiC)。
在外延生長形成期間,N型/P型epi部分126及128可藉由本技術中已知的任何適當植入製程而被原處摻雜。亦即,於一實施例中,二極體裝置100之epi生長部分可被各別地摻雜以N型雜質,諸如磷、砷等;及摻雜以P型雜質,諸如硼、BF2,以形成經摻雜N型/P型epi部分126及128。如本技術中所已知,遮罩(諸如光抗蝕劑遮罩)可被配置以覆蓋不應被植入(或摻雜)之區。
此外,在植入製程之後,一或更多退火製程可被履行以活化這些摻雜區。退火製程可包括快速熱退火(RTA)、雷射退火製程及/或類似者。於一些實施例中,在上述的所有這些製程之後,所得結構可實施二極體裝置100之P/N接面,其可被形成在任一P型鰭片區118或N型鰭片區116之間、或形成在P型鰭片區118與N型鰭片區116之介面處。注意:於圖1所示之實施例中,經摻雜N型/P型epi部分126及128係由外延生長所形成,在N型/P型鰭片區之鰭片結構/分段已由蝕刻製程等等所形成以後。然而,注意:於一替代實施例中,鰭片結構/分段本身可藉由外延生長而被形成在無基材區(諸如陰極、絕緣體、及陽極區)之平坦表面上,而非藉由蝕刻製程來形成。於此替代實施例中,經摻雜N型/P型epi部分可藉由外延生長而與鰭片結構被同時地形成為其整體的部分,在其被原處摻雜時。
於一些實施例中,導電接點112可被配置在N型/P型epi部分126及128之頂部表面上而成為二極體裝置100之頂部側金屬接點。如圖1之頂部視圖中所示,導電接點112被置於閘極電極114及/或背側通孔110的兩者之間,其中導電接點112可具有介於閘極電極114之間的間隙(小開口)。此外,如圖1之頂部視圖中所示,導電接點112可著陸在各別N型/P型epi部分126及128上,然而導電接點112可具有小於各別N型/P型epi部分126及128之寬度的寬度,依據一實施例。同時,於一實施例中,導電接點112可與各別N型/P型epi部分126及128垂直地延伸,其中導電接點112之長度可突出(或延伸超過)各別N型/P型epi部分126及128之長度。
這些導電接點112被形成在經摻雜且主動的N型/P型epi區126及128上方以提供導電路徑給二極體裝置100之陰極和陽極區。此外,選路線141-142可被配置(或實施/形成)在導電接點112上方以將頂部側導電接點112耦合在一起,其中(例如)選路線141可被用以將N型鰭片區116上方的導電接點112彼此耦合,而選路線142可被用以將P型鰭片區118上方的導電接點112彼此耦合。
導電接點112可由導電材料(諸如金屬等等)所形成。導電接點可被形成以自對準矽化物(自對準矽化物)製程,其涉及:過渡金屬之沈積(用以經由諸如CVD之適當製程而形成薄金屬層)、熱之施加(用以允許過渡金屬與主動區中之已暴露矽互作用來形成低電阻值過渡金屬矽化物)、及藉由化學蝕刻之任何剩餘過渡金屬的移除、僅在主動區中留下矽化物接點。典型的過渡金屬可包括鎳、鈷、鎢、鉭、鈦、鉑、鉺、鈀、及/或其組合。
最後,背側通孔110可被垂直地配置在陰極區104及陽極區106上。背側通孔110可被放置相鄰於N型/P型鰭片區116及118之外邊緣。於一實施例中,背側通孔110可具有大於各別N型/P型鰭片區116及118之厚度的厚度。類似地,於此等實施例中,背側通孔110可具有一頂部表面,其係實質上與導電接點112之頂部表面實質上共面。於另一實施例中,背側通孔110及導電接點112之頂部表面可被置於閘極電極114之頂部表面下面(於z軸)。
於一些實施例中,背側通孔110可被形成以一種導電材料,諸如Al、Cu、鎢、金屬合金(例如,Al與Cu之合金)、及/或形成導電材料之任何其他適當電接點,以提供電接點給陰極及/或陽極區104及106。此外,背側通孔110之各者可作用為用以將第一導體(諸如陰極區104及/或陽極區106)耦合至第二導體(諸如導電接點112)之導電互連,其中第一及第二導體可被配置在不同互連位準上,依據一實施例。最後,於這些實施例中,二極體裝置100可額外地配置(或實施/形成)頂部側選路線141-142,當作導電/金屬佈線(等等),以將各別頂部側N型/P型epi部分126及128上/上方之頂部側導電接點112耦合至陰極和陽極區104和106之各別背側金屬。
注意:二極體裝置100可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
現在參考圖2,顯示二極體裝置200之橫斷面圖示,依據一實施例。於一實施例中,二極體裝置200可為具有平行背側通孔之無基材FinFET橫向二極體。二極體裝置200可實質上類似於以上圖1中所述之二極體裝置100,除了其平行背側通孔210被配置(或放置)水平地平行於N型/P型鰭片區216及218。而背側通孔110被相鄰地配置並耦合至圖1中之各別N型/P型鰭片區116及118的外邊緣,平行背側通孔210係與圖2中之各別N型/P型鰭片區216及218完全分離。亦即,如圖2之兩視圖中所示,平行背側通孔210係水平地平行於各別N型/P型鰭片區216及218。
如上所述,二極體裝置200之N型/P型鰭片區216及218、N型/P型epi部分226及228、平行背側通孔210、閘極電極214、以及絕緣體、陰極、和陽極區202、204、和206可實質上類似於圖1中的二極體裝置100之N型/P型鰭片區116及118、N型/P型epi部分126及128、背側通孔110、閘極電極114、以及絕緣體、陰極、和陽極區102、104、和106。於一實施例中,如圖2之頂部視圖中所示,平行背側通孔210可被配置水平地平行於各別N型/P型鰭片區226及228,其中在絕緣體區210上方之間隙(或開口)可將耦合至N型鰭片區216之背側通孔210與耦合至P型鰭片區218之背側通孔210分離。於一替代實施例中,平行背側通孔210可佔據介於鰭片區的群組之間的空間。
於一些實施例中,背側通孔210可具有實質上等於各別N型/P型鰭片區216及218之厚度的厚度。類似地,於此類實施例中,背側通孔210可具有與各別N型/P型鰭片區216及218之頂部表面實質上共面的頂部表面。再者,導電接點212可被配置在平行背側通孔210及各別N型/P型鰭片區216和218之頂部表面兩者上。如此一來,導電接點212(或導電接點212的大部分)可具有一長度,其係延伸(並突出)在超過平行背側通孔210及各別N型/P型鰭片區216和218之長度兩者上方。注意:導電接點212之一可被配置在僅被置於絕緣體區202上方之N型鰭片區216上方-而非平行背側通孔210之一。
針對一個實施例,平行背側通孔210係藉由絕緣體材料203而與各別N型/P型鰭片區216及218完全地分離。絕緣體材料203可圍繞平行背側通孔210之各者,其中絕緣體材料203可被配置相鄰於各平行背側通孔210之外、長側邊緣(如圖2之頂部視圖所示)。於一實施例中,絕緣體材料203具有小於平行背側通孔210及N型/P型鰭片區216和218之厚度的厚度。於一些實施例中,絕緣體材料203可為用於絕緣體區202之形成的相同材料。於一替代實施例中,絕緣體材料203可為與用於絕緣體區202之形成的材料不同的材料。於一實施例中,絕緣體材料203可包括玻璃、二氧化矽、電介質等等。電介質可為任何已知的電介質材料,諸如低k電介質材料。可被使用之電介質材料的範例包括(但不限定於)氧化矽、CDO、氮化矽、有機聚合物(諸如全氟環丁烷及/或聚四氟乙烯)、FSG、及/或有機矽酸鹽(諸如半矽氧烷、矽氧烷、及/或有機矽酸鹽玻璃)。
注意:二極體裝置200可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
圖3-4繪示用以實施雙極性橫向二極體裝置的方法,該等雙極性橫向二極體裝置具有背側通孔及/或平行背側通孔。這些雙極性橫向二極體可使用具有相反極性epi部分之分離的N型和P型鰭片區,以形成二極體接面。因為各鰭片區僅具有一種極性,各陰極和陽極區之背側金屬可延伸鰭片區之長度以提供絕佳的熱量熱沈。然而,為了提供針對此類二極體之兩終端的背側連接,N型及P型鰭片區兩者可被用於用以實施雙極性橫向二極體的串列中。N型鰭片區及各別背側通孔可提供針對陰極區(以及N-epi區)上之所有鰭片的背側接點,而P型鰭片區及各別背側通孔可提供針對陽極區以及P-epi區上之所有鰭片的背側接點。類似於圖1-2之橫向二極體,以下所述之這些實施例亦可使用鰭片切割背側通孔及平行背側通孔以實施圖3-4之雙極性橫向二極體。注意:在一些視圖中,鰭片、絕緣體、金屬、及通孔之部分可已被移除在此類裝置中,以利清楚呈現。
現在參考圖3,顯示二極體裝置300之橫斷面及各別頂部視圖圖示,依據一實施例。於一實施例中,二極體裝置300可為具有背側通孔之無基材FinFET雙極性橫向二極體。二極體裝置300可實質上類似於以上之圖1中所述的二極體裝置100,除了其絕緣體、陰極、和陽極區302、304、和306係橫向地(於橫向方向)延伸以覆蓋各別N型/P型鰭片區316及318之寬度,以及除了其N型/P型鰭片區316及318係分別使用相反極性N型/P型epi部分326及328以形成各別二極體接面來實施。如上所述,二極體裝置300之N型/P型鰭片區316及318、N型/P型epi部分326及328、背側通孔310、導電接點312a-b、閘極電極314、以及絕緣體、陰極、和陽極區302、304、和306可實質上類似於圖1中的二極體裝置100之N型/P型鰭片區116及118、N型/P型epi部分126及128、背側通孔110、導電接點112、閘極電極114、以及絕緣體、陰極、和陽極區102、104、和106。
於一些實施例中,二極體裝置300可包括配置在陰極區304及絕緣體區302之部分上方的N型鰭片區316,而P型鰭片區318係配置在陽極區306及絕緣體區302之部分上方。針對一個實施例,複數N型epi生長部分326、複數閘極電極314、及複數P型epi生長部分328可被配置在各別N型鰭片區316及P型鰭片區318上方,其中閘極電極314之各者被置於N型/P型epi部分326及328的兩者之間(或相鄰於至少一者)。於一些實施例中,複數導電接點312a-b(或頂部側金屬接點)被配置在N型/P型epi部分326和328及/或N型/P型鰭片區316和318上方以形成二極體裝置300之頂部側金屬接點,其中導電接點312a具有大於導電接點312b之長度的長度。於一實施例中,導電接點312a被耦合至並延伸於N型/P型鰭片區316及318兩者上方,而導電接點312b被耦合至並延伸於N型/P型鰭片區316及318之僅一者上方。導電接點312a-b可被置於閘極電極314之間及/或於一個閘極電極314與複數背側通孔310的其中一個之間。
於一些實施例中,背側通孔310可被垂直地配置在陰極區304及/或陽極區306上,其中背側通孔310之二或更多者可被放置相鄰於P型鰭片區318之外邊緣,而背側通孔310之一或更多者可被置於N型鰭片區316內(亦即,背側通孔310可由一側(如圖3中所示之左側)上之N型鰭片區316的一部分及另一/相反側(如圖3中所示之右側)上之N型鰭片區318的另一部分所圍繞(或嵌入))。於一實施例中,N型鰭片區316具有導電接點312a及一背側通孔310以耦合陰極和陽極區304和306之N型epi部分326。針對另一實施例,P型鰭片區318具有導電接點312a及配置在p型鰭片區318之相反端上的兩個背側通孔310,其中這兩個背側通孔310被用以耦合陰極和陽極區304和306之P型epi部分328。注意:二極體裝置300之這些實施例可描述二極體裝置之完整陣列的僅一部分,其中背側通孔310不限於此確切組態。
如圖3中所示,陰極區304可具有大於N型鰭片區316及/或P型鰭片區318之長度的長度。類似地,陽極區306可具有大於N型鰭片區316及/或P型鰭片區318之長度的長度。於一實施例中,陰極區304之長度可約略等於陽極區306之長度。同樣地,於另一實施例中,絕緣體區302具有約略等於陰極和陽極區304和306之兩長度的長度。此外,如上所述,不像圖1-2之N型/P型鰭片區,N型/P型鰭片區316及318各自可實施(或外延地生長)N型/P型epi部分326及328兩者,藉此產生針對N型鰭片區316及P型鰭片區318之二極體P/N接面。
注意:二極體裝置300可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
現在參考圖4,顯示二極體裝置400之橫斷面及各別頂部視圖圖示,依據一實施例。於一實施例中,二極體裝置400可為具有平行背側通孔之無基材FinFET雙極性橫向二極體。二極體裝置400可實質上類似於以上圖3中所述之二極體裝置300,除了其平行背側通孔410被配置(或放置)水平地平行於N型/P型鰭片區416及418。而背側通孔310被配置在圖3中之N型鰭片區316中並相鄰於P型鰭片區318的外邊緣,平行背側通孔410係與圖4中之各別N型/P型鰭片區416及418完全分離。亦即,如圖4之兩視圖中所示,平行背側通孔410係水平地平行於各別N型/P型鰭片區416及418。
如上所述,二極體裝置400之N型/P型鰭片區416及418、N型/P型epi部分426及428、平行背側通孔410、導電接點412a-b、閘極電極414、以及絕緣體、陰極、和陽極區402、404、和406可實質上類似於圖3中的二極體裝置300之N型/P型鰭片區316及318、N型/P型epi部分326及328、背側通孔310、導電接點312a-b、閘極電極314、以及絕緣體、陰極、和陽極區302、304、和306。
於一實施例中,如圖4之頂部視圖中所示,平行背側通孔410可被配置水平地平行於各別N型/P型鰭片區416及418,其中在陰極和陽極區404和406上方之間隙(或開口)可將各別平行背側通孔410與N型/P型鰭片區416及418分離。亦即,一個背側通孔410可被完全地配置在陰極區404上方並相鄰於N型鰭片區416,而另一背側通孔410可被完全地配置在陽極區406上方並相鄰於P型鰭片區418。此外,於一些實施例中,在陰極區404上方之平行背側通孔410可利用導電接點412a而被耦合至N型/P型鰭片區416及418之N型epi部分426。類似地,於另一實施例中,在陽極區406上方之平行背側通孔410可利用導電接點412a而被耦合至N型/P型鰭片區416及418之P型epi部分428。
於一些實施例中,平行背側通孔410可具有實質上等於各別N型/P型鰭片區416及418之寬度的寬度。於另一實施例中,平行背側通孔410可具有不同於各別N型/P型鰭片區416及418之寬度的寬度。因此,在陰極區404上方之平行背側通孔410可具有實質上等於在陽極區406上方之平行背側通孔410的寬度。於一替代實施例中,在陰極區404上方之平行背側通孔410可具有實質上不同於在陽極區406上方之平行背側通孔410的寬度。
於一些實施例中,平行背側通孔410可具有實質上等於各別N型/P型鰭片區416及418之厚度的厚度。類似地,於此類實施例中,平行背側通孔410可具有與各別N型/P型鰭片區416及418之頂部表面實質上共面的頂部表面。再者,導電接點412a可被配置在平行背側通孔410及各別N型/P型鰭片區416和418之頂部表面兩者上。此外,導電接點412a可具有延伸(並突出)在/超過N型/P型鰭片區416和418以及各別平行背側通孔410之長度兩者上方。
針對一個實施例,平行背側通孔410可藉由絕緣體材料403而與各別N型/P型鰭片區426及428完全地分離。絕緣體材料403可實質上類似於以上圖2中所述之絕緣體材料203。絕緣體材料403可圍繞平行背側通孔410之各者,其中絕緣體材料403可被配置相鄰於各平行背側通孔410之外、長側邊緣(如圖4之頂部視圖所示)。再者,於一實施例中,絕緣體材料403可被配置在絕緣體區402上方以使陰極區404上之N型鰭片區416與陽極區406上之P型鰭片區418分離。於一實施例中,絕緣體材料403具有小於平行背側通孔410及N型/P型鰭片區416和418之厚度的厚度。
注意:二極體裝置400可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
圖5及6繪示用以實施垂直二極體裝置的方法,該等垂直二極體裝置具有背側通孔及/或平行背側通孔。這些垂直二極體實施具有相反極性epi部分之N型/P型鰭片區,以形成各別二極體接面。不像圖1-4中之橫向二極體,以下所述之這些垂直二極體可被實施而無通道區及通常係與通道區相關的次臨限洩漏。例如,垂直二極體可仰賴介於陽極區的背側金屬與P型鰭片區之間的歐姆連接,以提供接點給垂直二極體之一終端。因此,因為另一二極體終端無法被選路至相同的背側金屬軌道而不造成短路,所以鰭片之相鄰群組(諸如N型鰭片區)可被使用為背側通孔之陣列,以提供垂直二極體之漏失的連接/終端。類似於圖1-4之二極體裝置,以下所述之這些實施例亦可使用鰭片切割背側通孔及平行背側通孔兩者以實施圖5-6之垂直二極體。注意:在一些視圖中,鰭片、絕緣體、金屬、及通孔之部分可已被移除在此類裝置中,以利清楚呈現。
現在參考圖5,顯示二極體裝置500之橫斷面及各別頂部視圖圖示,依據一實施例。於一實施例中,二極體裝置500可為具有背側通孔之無基材FinFET垂直二極體。二極體裝置500可實質上類似於以下圖3中所述之二極體裝置300,除了其背側通孔510被實施僅有被配置在陰極和絕緣體區504和502上方之N型鰭片區516,且N型/P型鰭片區516及518兩者僅包括N型epi部分526。如上所述,二極體裝置500之N型/P型鰭片區516及518、N型epi部分526、背側通孔510、導電接點512、閘極電極514、以及絕緣體、陰極、和陽極區502、504、和506可實質上類似於圖3中的二極體裝置300之N型/P型鰭片區316及318、N型epi部分326、背側通孔310、導電接點312、閘極電極314、以及絕緣體、陰極、和陽極區302、304、和306。
於一些實施例中,二極體裝置500可包括配置在陰極區504及絕緣體區502之部分上方的N型鰭片區516,而P型鰭片區518係配置在陽極區506及絕緣體區502之部分上方。注意:這些二極體裝置500可被實施以N型鰭片中之P型epi或以P型鰭片中之N型epi。針對一個實施例,N型epi部分526可被配置在N型/P型鰭片區516及518兩者上方,而N型epi部分526及閘極電極514可被配置在僅P型鰭片區516上方。注意:在這些二極體裝置500中,形成在背側通孔510周圍的epi類型及鰭片類型不限於任何特定組態,但epi類型必須相反於各別二極體部分上方所配置的鰭片類型。
於此實施例中,閘極電極514之各者可被置於其被外延地生長在P型鰭片區516上之N型epi部分526的兩者之間。還有,注意:二極體裝置500可藉由在陰極區上之N型鰭片區上方生長P-epi部分而同時移動背側通孔至陽極區來實施。於一些實施例中,複數導電接點512(或頂部側金屬接點)係配置在N型/P型鰭片區516及518之N型epi部分526上方,以形成二極體裝置500之頂部側金屬接點。於一實施例中,導電接點512被耦合至並延伸在N型/P型鰭片區516及518兩者上方,其被各別地配置在陰極和陽極區504和506上方。導電接點512可被置於閘極電極514之間及/或於一個閘極電極514與N型鰭片區516之複數背側通孔510的其中一個之間。
於一些實施例中,背側通孔510可被垂直地配置在陰極區504上並僅放置在N型鰭片區516內(亦即,背側通孔510可由N型鰭片區516之部分所圍繞(或嵌入))。例如,於如圖5之頂部視圖中所示的一些實施例中,N型鰭片區516及N型epi部分526之頂部表面可實質上由背側通孔510及導電接點512所覆蓋。如此一來,於一實施例中,N型鰭片區516具有導電接點512(及/或背側通孔510)以耦合陰極和陽極區504和506之N型epi部分526。
注意:二極體裝置500可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
現在參考圖6,顯示二極體裝置600之橫斷面及各別頂部視圖圖示,依據一實施例。於一實施例中,二極體裝置600可為具有平行背側通孔之無基材FinFET垂直二極體。二極體裝置600可實質上類似於以上圖5中所述之二極體裝置500,除了其平行背側通孔610被配置(或放置)水平地平行於N型鰭片區616且僅在陰極區604上方,以及除了其閘極電極614被配置在N型/P型鰭片區616及618兩者上方。而背側通孔510被配置僅有N型鰭片區516且在圖5中之陰極和絕緣體區504和502兩者上方,平行背側通孔610係與圖6中之N型鰭片區616完全分離且僅配置在圖6中之陰極區604上方。亦即,如圖4之兩視圖中所示,平行背側通孔410係水平地平行於各別N型/P型鰭片區416及418。
如上所述,二極體裝置600之N型/P型鰭片區616及618、N型epi部分626、平行背側通孔610、導電接點612、閘極電極614、以及絕緣體、陰極、和陽極區602、604、和606可實質上類似於圖5中的二極體裝置500之N型/P型鰭片區516及518、N型epi部分526、背側通孔510、導電接點512、閘極電極514、以及絕緣體、陰極、和陽極區502、504、和506。
於一實施例中,如圖6之頂部視圖中所示,平行背側通孔610可被配置水平地平行並相鄰於N型鰭片區616(例如,注意此部分/區域可由N型鰭片或P型鰭片所製),其中在陰極區604上方之間隙(或開口)可使平行背側通孔610與N型鰭片區616分離。亦即,平行背側通孔610可被完全地配置在陰極區604上方並相鄰於N型鰭片區616。此外,於一些實施例中,在陰極區604上方之平行背側通孔610可利用導電接點612而被耦合至N型/P型鰭片區616及618之N型epi部分626。
於一些實施例中,平行背側通孔610可具有實質上等於各別N型/P型鰭片區616及618之寬度的寬度。於另一實施例中,平行背側通孔610可具有不同於N型鰭片區616及/或P型鰭片區618之寬度的寬度。於一些實施例中,平行背側通孔610可具有實質上等於N型/P型鰭片區616及618之厚度的厚度。類似地,於此類實施例中,平行背側通孔610可具有與N型/P型鰭片區616及618之頂部表面實質上共面的頂部表面。再者,導電接點612可被配置在平行背側通孔610及N型/P型鰭片區616和618之頂部表面兩者上。此外,導電接點612可具有延伸(並突出)在/超過N型/P型鰭片區616和618以及平行背側通孔610之長度兩者上方。
針對一個實施例,平行背側通孔610可藉由絕緣體材料603而與N型鰭片區616完全地分離。絕緣體材料603可實質上類似於以上圖2中所述之絕緣體材料203。絕緣體材料603可圍繞平行背側通孔610之各者,其中絕緣體材料603可被配置相鄰於各平行背側通孔610之外、長側邊緣(如圖6之頂部視圖所示)。再者,於一實施例中,絕緣體材料603可被配置在絕緣體區602上方以使陰極區604上之N型鰭片區616與陽極區606上之P型鰭片區618分離。於一實施例中,絕緣體材料603具有小於平行背側通孔610及N型/P型鰭片區616和618之厚度的厚度。
注意:二極體裝置600可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
圖7繪示用以實施具有平行背側通孔之雙極性淺溝槽隔離(STI)二極體裝置的方法之一。這些雙極性STI二極體可類似於圖3-4中之雙極性橫向二極體。同時,雙極性STI二極體之一些設計組件(或特徵)可被實施以(或加至)圖1-2中之橫向二極體。以下所述之實施例係致能二極體裝置使用介於各別鰭片/子鰭片區的相反epi部分之間的STI區,而同時藉由移除通道區以提供反向偏壓洩漏的實質上減少。類似於圖1-6之二極體裝置,以下所述之實施例亦可使用鰭片切割背側通孔及/或平行背側通孔以實施圖7之雙極性STI二極體。注意:在一些視圖中,鰭片、絕緣體、金屬、及通孔之部分可已被移除在此類裝置中,以利清楚呈現。
現在參考圖7,顯示二極體裝置700之橫斷面及各別頂部視圖圖示,依據一實施例。於一實施例中,二極體裝置700可為具有平行背側通孔之無基材FinFET雙極性STI二極體。二極體裝置700可實質上類似於以上圖3-4中所述的二極體裝置300及400,除了其N型/P型鰭片區716a及718a具有各別N型/P型STI區716b及718b,以及除了其N型epi部分726被配置在N型/P型鰭片區716a及718a之一側上(例如在圖7之二極體裝置700的左側上),以及P型epi部分728被配置在N型/P型鰭片區716a及718a之另一/相反側上(例如在圖7之二極體裝置700的右側上)。亦即,N型鰭片區716a可具有位於N型STI區716b之左側上的N型epi部分726,而N型鰭片區716a可具有位於N型STI區716b之右側上的P型epi部分728。類似地,亦即,P型鰭片區718a可具有位於P型STI區718b之左側上的N型epi部分726,而P型鰭片區718a可具有位於P型STI區718b之右側上的P型epi部分728。
如上所述,二極體裝置700之N型/P型鰭片區716a及718a、N型/P型epi部分726及728、平行背側通孔710、導電接點712a-b、閘極電極714、絕緣體材料703、以及絕緣體、陰極、和陽極區702、704、和706可實質上類似於圖4中的二極體裝置400之N型/P型鰭片區416及418、N型/P型epi部分426及428、平行背側通孔410、導電接點412a-b、閘極電極414、絕緣體材料403、以及絕緣體、陰極、和陽極區402、404、和406。
於一些實施例中,二極體裝置700可包括配置在陰極區704及絕緣體區702之部分上方的N型鰭片區716a,而P型鰭片區718a係配置在陽極區706及絕緣體區702之部分上方。針對一個實施例,N型epi部分726、閘極電極714、及P型epi部分728可被配置在各別N型/P型鰭片區716a和718a上方,其中閘極電極714被置於兩個N型epi部分726或者兩個P型epi部分728之間,其被配置在N型/P型鰭片區716a和718a上方。於一些實施例中,導電接點712a被配置在N型/P型鰭片區716a和718b之N型epi部分726上方,而導電接點712b被配置在N型/P型鰭片區716a和718a之P型epi部分728上方。於一實施例中,導電接點712a可具有約略等於導電接點712b之長度的長度。於一實施例中,導電接點712a被耦合至並延伸在陰極區704上方之N型/P型鰭片區716a和718a及平行背側通孔710兩者上方,而導電接點712b被耦合至並延伸在陽極區706上方之N型/P型鰭片區716a和718a及平行背側通孔710兩者上方。導電接點712a-b可被放置相鄰於閘極電極714。
於一實施例中,陰極區704的/上的平行背側通孔710具有導電接點712a,用以耦合N型/P型鰭片區716a及718b之N型epi部分726。針對另一實施例中,陽極區706的/上的平行背側通孔710具有導電接點712b,用以耦合N型/P型鰭片區716a及718a之P型epi部分728。此外,如上所述,不像圖3-4之N型/P型鰭片區,N型/P型鰭片區716a和718a可各別地實施N型/P型STI區716b和718b,其中此類N型/P型STI區716b和718b可為淺鰭片切割,其被圖案化成各別N型/P型鰭片區716a和718a。於這些實施例中,N型STI區716b可被類似地圖案化為P型STI區718b,其中N型STI區716b可包括如N型鰭片區716a之相同材料,且P型STI區718b可包括如P型鰭片區718a之相同材料。於一些實施例中,N型/P型STI區716b和718b可具有可觀地小於N型/P型鰭片區716a和718a之厚度的厚度。於一實施例中,N型STI區716b之厚度可約略等於P型STI區718b之厚度。
此外,平行背側通孔710可實質上類似於以上圖4中所述之平行背側通孔410,除了其陰極區704上方之平行背側通孔710可延伸以僅覆蓋N型/P型鰭片區716a和718a之左側的長度(亦即,此平行背側通孔710可約略僅從N型/P型鰭片區716a和718a之左邊緣延伸至N型/P型STI區716b和718b之左邊緣(或最近邊緣)),以及除了其陽極區706上方之平行背側通孔710可延伸以僅覆蓋N型/P型鰭片區716a和718a之右側的長度(亦即,此平行背側通孔710可約略僅從N型/P型鰭片區716a和718a之右邊緣延伸至N型/P型STI區716b和718b之右邊緣(或最近邊緣))。如此一來,如圖7中所示,陰極區704上方之平行背側通孔710被配置(或放置)水平地平行並相鄰於N型鰭片區716a,而陽極區706上方之平行背側通孔710被配置水平地平行並相鄰於P型鰭片區718a。
於一實施例中,如圖7之頂部視圖中所示,平行背側通孔710可被配置水平地平行於各別N型/P型鰭片區716a及718a,其中在陰極和陽極區704和706上方之間隙(或開口)可使各別平行背側通孔710與N型/P型鰭片區716a及718a分離。亦即,一個背側通孔710可被完全地配置在陰極區704上方並相鄰於N型鰭片區716a,而另一背側通孔710可被完全地配置在陽極區706上方並相鄰於P型鰭片區718a。此外,於一些實施例中,陰極區704上方之平行背側通孔710可利用導電接點712a而被耦合至N型/P型鰭片區716a和718a之N型epi部分726,而陽極區706上方之平行背側通孔710可利用導電接點712b而被耦合至N型/P型鰭片區716a和718a之P型epi部分728。
於一些實施例中,平行背側通孔710可具有小於各別N型/P型鰭片區716a及718a之寬度的寬度。於另一實施例中,在陰極區704上方之平行背側通孔710可具有實質上等於在陽極區406上方之平行背側通孔710的寬度。於一替代實施例中,在陰極區704上方之平行背側通孔710可具有實質上不同於在陽極區706上方之平行背側通孔710的寬度。
於一些實施例中,平行背側通孔710可具有實質上等於各別N型/P型鰭片區716a及718a之厚度的厚度。類似地,於此類實施例中,平行背側通孔710可具有與各別N型/P型鰭片區716a及718a之頂部表面實質上共面的頂部表面。再者,導電接點712a可被配置在陰極區704之平行背側通孔710以及N型/P型鰭片區716a和718a之兩者的頂部表面上,而導電接點712b可被配置在陽極區706之平行背側通孔710以及N型/P型鰭片區716a和718a之兩者的頂部表面上。此外,導電接點712a可具有一長度,其係延伸(並突出)在/超過陰極區704之N型/P型鰭片區716a和718a及平行背側通孔710之長度兩者上方,而導電接點712b可具有一長度,其係延伸(並突出)在/超過陽極區706之N型/P型鰭片區716a和718a及平行背側通孔710之長度兩者上方。於一實施例中,導電接點712a可具有實質上等於導電接點712b之長度的長度。於一替代實施例中,導電接點712a可具有不同於導電接點712b之長度的長度。
針對一個實施例,平行背側通孔710可藉由絕緣體材料703而與各別N型/P型鰭片區716a及718a完全地分離。絕緣體材料703可實質上類似於以上圖2中所述之絕緣體材料203。絕緣體材料703可圍繞平行背側通孔710之各者,其中絕緣體材料703可被配置相鄰於各平行背側通孔710之外、長側邊緣(如圖7之頂部視圖所示)。再者,於一實施例中,絕緣體材料703可被進一步配置在絕緣體區702上方以使具有N型STI區716b之N型鰭片區716a與具有P型STI區718b之P型鰭片區718a分離。於另一實施例中,絕緣體材料703可被省略被配置在絕緣體區702上方。同時,於一實施例中,絕緣體材料703具有小於平行背側通孔710及N型/P型鰭片區716a和718a之厚度的厚度。
注意:二極體裝置700可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
如上所述,傳統三終端STI BJT(諸如帶隙二極體)係仰賴矽基材以提供介於射極、基極、和集極接面/區之間的導電。在無基材科技中,隨著二極體/電晶體裝置持續縮小,這些半導體基材被完全地拋光掉(或移除),其切斷傳統電流路徑。例如,如上所述,在傳統SOI FinFET橫向BJT裝置中,在epi部分下面之子鰭片區被完全地移除,僅留下高度摻雜的epi部分在絕緣體區之頂部上。於這些範例中,P型(或P+)射極和集極epi係藉由一作用為基極區之相反背景摻雜的N鰭片區而被分離,其中電流導電路徑通常被限制僅於射極與集極epi部分/接點之間的N鰭片基極區。
這些SOI為基的橫向BJT裝置具有數個缺點,包括(i)在此類設計中無法接觸並收集基極電流(例如,假如一epi部分被生長,則此部分將阻擋通至集極區之電流路徑;或者N分接頭可被形成在該側上,但此分接頭並未反映真實的基極電流);(ii)電流流動和熱產生被限制於極小的量,其中在epi部分底下之絕緣體區係防止熱消散而因此被限制於電流之小甚多的範圍;及(iii)對於BJT性能之可調諧性的附加約束(例如,額外的P+射極可被植入以調諧射極為基的接面特性,其因而調變BJT性能量度但是增加了大小、成本等等,而在SOI裝置中,鰭片被完全地蝕刻掉而僅留下P型epi部分,且如此一來類似的可調諧特徵(諸如額外的P+射極)不存在)。
因此,在現存的無基材科技中,矽之大塊(或矽基材)被完全地拋光掉,其移除此類BJT裝置之導電路徑。此產生針對用以建構三終端FinFET為基的橫向BJT裝置之新穎且改良的方法。如此一來,如以下圖8A-8B、9、及10A-10C中所述,這些實施例提供具有背側接點之橫向無基材BJT裝置,諸如用於射極、集極、和基極接面之背側金屬區、以及背側通孔及/或平行背側通孔。此類實施例透過背側接點以致能接觸/耦合BJT裝置之射極、基極和集極接面。
因此,文中所述之實施例包括藉由以下方式之超越現存處理科技的增進:(i)留下epi部分下方之子鰭片區,其有助於導電程序且亦增加裝置之電流攜載能力及熱限制;(ii)實施橫向BJT裝置之主動鰭片區下方的背側金屬接點以作用為熱沈(例如,如相較於傳統SOI橫向BJT裝置底下之絕緣體區),以協助減少選路電阻值、並增加淺隔離BJT裝置中之子鰭片區的熱能力,即使主動BJT鰭片區是在絕緣體區上(例如,如相較於傳統SOI為基的橫向BJT裝置);(iii)使用額外的P+植入於射極和集極接面區兩者以防止介於射極/集極與基極區之間的短路(通過背側通孔),其中摻雜物種及能量可被修改以調諧前向偏壓射極-基極接面之性質及橫向BJT裝置之性能;以及(iv)允許偏壓並產生通過子鰭片區之基極電流(例如,如相較於SOI橫向BJT裝置)。
圖8A-10C繪示用以實施橫向BJT裝置之方法,其包括利用文中所述之背側金屬接點的子鰭片導電。例如,該等方法之一包括初始地形成N型鰭片區並接著實施特殊P+植入以產生射極和集極區,而同時在中間的N鰭片區形成基極區。此類方法使用在相同鰭片區上之相反類型epi部分的形成,以利由閘極電極所分離。因此,第一P/N接面可被形成在P+射極區與N型基極接面區之間,且第二P/N接面可被形成在N型基極區與P+集極區之間。注意:若無特殊P+植入,則將有介於P+射極/P+集極接面區與N型鰭片基極區之間的短路,通過鰭片區下面的背側金屬接點。如此一來,以下所述之橫向BJT電晶體裝置的這些實施例允許在相同鰭片區內形成兩個背靠背二極體接面,而背側通孔係攜載該電流向下至各別背側金屬接點/區,以及在此類鰭片區上方之頂部位準金屬選路配合在分離分接頭中之背側通孔係協助收集基極電流。此一實施例之範例被顯示在圖8A-10C之各者中。亦注意:在一些視圖中,鰭片、絕緣體、金屬、及通孔之部分可已被移除在此類裝置中,以利清楚呈現。
現在參考圖8A,顯示電晶體裝置800之橫斷面及各別頂部視圖圖示,依據一實施例。而在圖8B中,顯示電晶體裝置800之鰭片區801的各別橫斷面視圖圖示,依據一實施例。於一實施例中,電晶體裝置800可為具有背側通孔之無基材FinFET為基的橫向BJT電晶體裝置。電晶體裝置800可包括無基材FinFET橫向二極體裝置/設計,其可實質上類似於以上圖1中所述的二極體裝置100(及/或以上圖1-7中所述的任何其他二極體裝置)。
亦即,於一些實施例中,電晶體裝置800可具有N型鰭片區816及二個P型鰭片區818,其係耦合並配置在射極區804、絕緣體區802、及/或集極區806上方。於這些實施例中,N型鰭片區816可由N型鰭片區816之左側上該等P型鰭片區818之一者、以及N型鰭片區816之右側上該二個P型鰭片區818之另一者所圍繞(例如,在如圖8A-8B之橫斷面視圖中所示的N型鰭片區816之相反短側邊緣/側壁兩者上)(如圖8A-8B中所示)。此外,於一實施例中,背側通孔810可被垂直地配置在射極和集極區804和806上並設置相鄰於P型鰭片區818之兩者的外邊緣/側壁。同樣地,於此實施例中,電晶體裝置800亦可具有配置在基極區808上方之N型鰭片區816,其中背側通孔810可被垂直地配置在基極區808上並設置在N型鰭片區816之間中。因此,電晶體裝置800致能透過背側通孔810及選路線841-843以耦合(或接觸)射極、集極、和基極區804、806、和808之接面。
針對一些實施例,複數N型epi部分826、複數閘極電極814、及複數P型epi部分828被配置在絕緣體、射極、和集極區802、804、和806之N型/P型鰭片區816及818上方,而N型epi部分826及閘極電極814被配置在基極區808之N型鰭片區816上方。此外,於一實施例中,複數導電接點812被配置在絕緣體、射極、集極、和基極區802、804、806、和808之N型/P型epi部分826和828及/或N型/P型鰭片區816和818上方,以形成電晶體裝置800之頂部側金屬接點。如圖8A中所示,閘極電極814可被置於導電接點812之間,而導電接點812可被置於閘極電極814及/或背側通孔810之間。
如上所述,於一些實施例中,電晶體裝置800可初始地配置(或形成)N型鰭片區816並接著植入P型鰭片區818以產生射極和集極區804和806,而被置於中間的N型鰭片區816形成基極區808。此類實施例可實施相同/各別N型/P型鰭片區816及818上之N型/P型epi部分826及828的形成,並可用閘極電極814來分離此類N型/P型epi部分826及828。亦即,N型/P型epi部分826及828可被外延地生長在相同的各別N型/P型鰭片區816及818上,其中N型epi部分826被配置在N型鰭片區816上方,而P型epi部分828被各別地配置在P型鰭片區818上方。因此,如圖8B中所示,第一P/N接面可被實施在P型鰭片區818(或P+射極區)與N型鰭片區816(或N型基極接面區)之間(例如,如顯示以P型/N型鰭片區818及816在圖8A之左側上的射極和絕緣體區804和802之介面上方);而第二P/N接面可被實施在N型鰭片區816(或N型基極接面區)與P型鰭片區818(或P+集極區)之間(例如,如顯示以P型/N型鰭片區818及816在圖8A之右側上的絕緣體和集極區802和806之介面上方)。注意:利用P型鰭片區818之植入,電晶體裝置800避免介於P+射極/集極區804和806與基極區808的N型鰭片區816之間的短路,通過各別N型/P型鰭片區816及818下面之射極、集極、和基極區804、806、和808的背側金屬接點。
如此一來,於文中所述之這些實施例中,電晶體裝置800(或三終端橫向BJT電晶體裝置)係提供至少兩個背對背P/N二極體接面之形成在相同鰭片內(亦即,具有N型/P型鰭片區816及818在絕緣體、射極、和集極區802、804、和806上方,如圖8A中所示),而N型鰭片區818上方之頂部位準金屬選路線842(配合背側通孔)係攜載電流向下(如顯示以圖8B中之箭號833)至射極和集極區804和806之各別背側金屬接點,因為在此類各別N型/P型鰭片區816及818上方之頂部位準金屬選路線843(配合基極區808之分離金屬分接頭中的背側通孔810)係協助收集基極電流(如以圖8B中之箭號832所示)。如上所述,電晶體裝置800可被實施以無基材FinFET二極體陣列或複數無基材FinFET二極體裝置,如文中所述,亦即,其中由電晶體裝置800所實施之此類二極體裝置可實質上類似於以上圖1-7中所述之二極體裝置。
如此一來,電晶體裝置800之N型/P型鰭片區816及818、N型/P型epi部分826及828、背側通孔810、導電接點812、閘極電極814、選路線841-843、以及絕緣體、射極、集極、和基極區802、804、806、和808可實質上類似於圖1中的二極體裝置100之N型/P型鰭片區116及118、N型/P型epi部分126及128、背側通孔110、導電接點112、閘極電極114、選路線141-142、以及絕緣體、陰極、和陽極區102、104、和106。亦即,例如,射極、集極、和基極區804、806、和808可被形成以類似於圖1中之陰極和陽極區104和106的導電/金屬區(或層/部分)。
注意:圖8A-8B之電晶體裝置800及鰭片區801可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
現在參考圖9A,顯示電晶體裝置900之橫斷面及各別頂部視圖圖示,依據一實施例。而在圖9B中,顯示電晶體裝置900之鰭片區901的各別橫斷面視圖圖示,依據一實施例。於一實施例中,電晶體裝置900可為具有平行背側通孔之無基材FinFET為基的淺隔離BJT電晶體裝置。電晶體裝置900可實質上類似於以上圖8A中所述之電晶體裝置800,除了其N型鰭片區916a包括STI區916b(或N型淺隔離區);其N型鰭片區916與P型鰭片區918分離;以及其N型/P型鰭片區916及918被耦合至並相鄰於平行背側通孔910,其被各別地配置在集極、基極、和射極區906、908、和904之金屬分接頭上。
亦即,於一些實施例中,電晶體裝置900可具有N型鰭片區916a及兩個P型鰭片區918,其係與STI區916b耦合並配置在絕緣體區902(例如,諸如絕緣體區902上之主動帶隙二極體裝置)上方。於這些實施例中,具有STI區916b之N型鰭片區916a(在如圖9A-9B中所示之N型鰭片區916a的各側上)可由N型鰭片區916a的STI區916b之左側上的P型鰭片區918之一者、以及N型鰭片區916的STI區916b之右側上的P型鰭片區918之另一者所圍繞(如圖9A-9B中所示)。注意:此類實施例可產生PNP BJT;替代地,NPN BJT亦可被產生。
此外,於一實施例中,電晶體裝置900亦可具有N型鰭片區916及兩個P型鰭片區918,其被配置在集極、基極、和射極區906、908、和904上方。於一實施例中,集極、基極、和射極區906、908、和904可與絕緣體區902分離,其中此類集極、基極、和射極區906、908、和904可為電晶體裝置900之導電/金屬分接頭。於這些實施例中,N型鰭片區916可被配置在基極區903上方,並可被置於其被配置在集極區906上方之左側上的P型鰭片區918之一者與其被配置在射極區904上方之右側上的P型鰭片區918之另一者之間。
此外,針對一些實施例,平行背側通孔910可被垂直地配置在集極、基極、和射極區906、908、和904上並放置相鄰於P型鰭片區918(在右側上)、N型鰭片區916、及P型鰭片區(在右側上),其亦被各別地配置在此類集極、基極、和射極區906、908、和904上。如上所述,平行背側通孔910可利用絕緣體材料903而被隔離(在金屬分接頭)並分離自各別N型/P型鰭片區916及918。因此,電晶體裝置900致能透過平行背側通孔910及選路線913以耦合(或接觸)集極、基極、和射極區906、908、和904之接面。例如,平行背側通孔910可被用以攜載電流至集極、基極、和射極區906、908、和904之背側接點,而分離隔離N型/P型鰭片區916a及918可被用以收集電流並透過選路線913以將其供應至背側通孔910。亦即,如圖9B中所示,具有各別N型/P型鰭片區916a/916及918之平行背側通孔910可攜載集極電流向下(如以箭號933所示)以及射極和集極區904和906之各別背側金屬接點,因為各別N型鰭片區916及916a(配合基極區908之平行背側通孔910)可協助收集基極電流(如以箭號932所示)。
針對一些實施例,類似於圖8A-8B中之電晶體裝置800,N型epi部分926、閘極電極914、及P型epi部分928被各別地配置在N型/P型鰭片區916a/916及918上方。此外,於一實施例中,導電接點912被配置在集極、基極、和射極區906、908、和904之N型/P型epi部分926和928及/或平行背側通孔910上方,以形成電晶體裝置900之頂部側金屬接點。如圖9A-9B中所示,閘極電極914可被置於導電接點912之間,而導電接點912可被置於各別N型/P型鰭片區916a/916及918之頂部外邊緣上。此外,於如圖9A中所示之一實施例中,配置在各別N型/P型鰭片區916a/916及918之左側上的選路線913可被隔離自平行背側通孔910,其中這些選路線913(在此類鰭片區之左側上)可僅被配置在並耦合至N型/P型epi部分926及928上方;同時配置在各別N型/P型鰭片區916a/916及918之右側上的其他選路線913可被導電地耦合至平行背側通孔910,其中這些選路線913(在此類鰭片區之右側上)可被配置在並耦合至各別N型/P型epi部分926及928以及平行背側通孔910之頂部表面兩者上方。
如上所述,電晶體裝置900可被實施以無基材FinFET二極體陣列或複數無基材FinFET二極體裝置,如文中所述,亦即,其中由電晶體裝置900所實施之此類二極體裝置可實質上類似於以上圖1-8B中所述之二極體/電晶體裝置。如此一來,電晶體裝置900之N型/P型鰭片區916a/916及918、STI區916b、N型/P型epi部分926及928、平行背側通孔910、導電接點912、閘極電極914、選路線913、絕緣體材料903、以及絕緣體、射極、集極、和基極區902、904、906、和908可實質上類似於圖1及7-8A中的二極體/電晶體裝置100、700、及800之N型/P型鰭片區716a/116及118、N型STI區716b、N型/P型epi部分126及128、平行背側通孔710、導電接點112、閘極電極114、選路線141-142、絕緣體材料703、以及絕緣體、射極、集極、和基極區802、804、806、和808。
注意:圖8A-8B之電晶體裝置900及鰭片區801可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
現在參考圖10A-10C,其係描繪電晶體裝置1000之一連串橫斷面鰭片及閘極視圖圖示,依據一些實施例。圖10A-10C中所示之製程流係形成類似於以上圖8A-8B中所述之電晶體裝置800的電晶體裝置1000。因此,如上所述,電晶體裝置1000之此製程流係繪示方法之一,其致能實施具有背側通孔之無基材FinFET為基的橫向BJT電晶體裝置。亦即,於一些實施例中,電晶體裝置1000可具有N型鰭片區、P型鰭片區、及背側通孔,其係耦合並配置在射極區、絕緣體區、集極區、及/或基極區上方,依據一些實施例。
現在參考圖10A,顯示電晶體裝置1000之一連串橫斷面鰭片及閘極圖示,依據一實施例。於一實施例中,電晶體裝置1000可初始地包括N型鰭片區1016,其中N型鰭片區1016可為N型-井(或N-井)區,其已被摻雜(或產生)在P型區(或P型基材)中。於一實施例中,電晶體裝置1000可配置複數P型鰭片區1018及複數絕緣體區1003(或絕緣體材料)在N型鰭片區1016上方,其中P型鰭片區1018可為淺P型(或P+)摻雜區,及其中絕緣體區1003可被直接地配置在N型鰭片區1016上方並置於電晶體裝置1000之外邊緣上且圍繞P型鰭片區1018。此外,複數閘極電極1014可被配置(或形成/產生)在N型/P型鰭片區1016及1018上方。於一實施例中,如上所述,N型/P型鰭片區1016及1018、閘極電極1014、以及絕緣體區/材料1003可實質上類似於以上圖8A中所述之N型/P型鰭片區816及818、閘極電極814、以及絕緣體區/材料802。
此外,如圖10A之鰭片切割圖示中所述,閘極電極1014可被配置在P型鰭片區1018及絕緣體區1003上。最後,於一些實施例中,電晶體裝置1000可實施P+摻雜能量1038(或P+摻雜物種/濃度)在P型鰭片區1018上方/之上以形成射極和集極區,其被隨後顯示於下在圖10B中,而區1036可被阻擋(或覆蓋)自P+摻雜能量1038(或P+摻雜暴露)以形成N型epi部分,其被隨後顯示於下在圖10B中。
現在參考圖10B,顯示電晶體裝置1000之一連串橫斷面鰭片及閘極圖示,依據一實施例。於一實施例中,複數P型epi部分1028可被配置在P型鰭片區1018上方,其中此類P型epi部分1028可被形成(或植入)以如上所述之P+摻雜能量1038。例如,如圖10B之鰭片切割圖示中所示,P型epi部分1028可被配置在P型鰭片區1018上方,其中P型epi部分1028可被嵌入(或圍繞)以絕緣體區1003、閘極電極1014、及背側通孔1010。此外,於一實施例中,N型epi部分1026可被配置在N型鰭片區1016上方且被圍繞(及放置/設置)在閘極電極1014之間。注意:於一實施例中,N型/P型鰭片區之背景摻雜可被用以界定電晶體裝置1000之基極區及個別摻雜接面之區(或區域)。於一些實施例中,複數背側通孔1010可被配置在N型鰭片區1016上方並圍繞P型鰭片區1018及P型epi部分1028。
如上所述,此類實施例可實施相同/各別N型/P型鰭片區1016及1018上之N型/P型epi部分1026及1028的形成,並可用閘極電極1014來分離此類N型/P型epi部分1026及1028。亦即,N型/P型epi部分1026及1028可被外延地生長在相同的各別N型/P型鰭片區1016及1018上,其中N型epi部分1026被配置在N型鰭片區1016上方,而P型epi部分1028被各別地配置在P型鰭片區1018上方,其中第一P/N接面可被實施在P型鰭片區1018(或P+射極區)與N型鰭片區1016(或N型基極接面區)之間,而第二P/N接面可被實施在N型鰭片區1016(或N型基極接面區)與P型鰭片區1018(或P+集極區)之間。
現在參考圖10C,顯示電晶體裝置1000之一連串橫斷面鰭片及閘極圖示,依據一實施例。於一實施例中,電晶體裝置1000可移除N型鰭片區1016之基材部分,其中剩餘的N型鰭片區1016可實質上由P型鰭片區1018所嵌入。基材之移除可被實施以蝕刻製程、拋光製程、或任何類似的移除/拋光製程,其中此類背側拋光可基於鰭片/子鰭片區之所欲厚度(或z高度)來調變。此外,射極區1004和集極區1006可被配置在P型鰭片區1018及背側通孔1010下面。於一實施例中,絕緣體區1002可被配置在射極與集極區1004與1006之間。於另一實施例中,複數導電接點1012可被配置在各別N型/P型epi部分1026及1028上方。
如此一來,如上所述,電晶體裝置1000可被實施為三終端橫向BJT電晶體裝置,其具有至少兩個背靠背P/N二極體接面在相同鰭片內(亦即,具有N型/P型鰭片區1016及1018在絕緣體、射極、和集極區1002、1004、和1006上方),而背側通孔1010攜載電流至射極和集極區1004和1006之各別背側金屬接點,因為此類各別N型/P型鰭片區1016及1018上方之頂部位準金屬選路線(未顯示),配合基極區(例如,如以圖8A之基極區808所示者)之分離金屬接點中的背側通孔1010可協助收集基極電流。
針對一個實施例,電晶體裝置1000之N型/P型鰭片區1016及1018、N型/P型epi部分1026及1028、背側通孔1010、導電接點1012、閘極電極1014、以及絕緣體、射極、和集極區1002、1004、和1006(及未顯示的基極區)可實質上類似於圖8A-8B中的電晶體裝置800之N型/P型鰭片區816及818、N型/P型epi部分826及828、背側通孔810、導電接點812、閘極電極814、以及絕緣體、射極、集極、和基極區802、804、806和808。注意:電晶體裝置1000致能其鰭片/子鰭片區可作用為導電路徑(例如,載子可被收集在集極節點處,其中(於較高的偏壓)集極電流可大於基極電流,指示β大於1,此類裝置之關鍵量度)。
亦注意:電晶體裝置1000可包括較少的、額外的或替代的封裝組件,基於所欲的封裝設計。
現在參考圖11A-11B。文中所述之積體電路結構可被包括在電子裝置中。當作一種此類設備之範例,圖11A及11B為包括具有複數二極體之一或更多電晶體裝置的晶圓及晶粒的頂部視圖,依據文中所述之實施例的一或更多者。
如圖11A-11B中所示,晶圓1100可由半導體材料所組成且可包括一或更多晶粒1102,其具有在晶圓1100之表面上所形成的IC結構。晶粒1102之各者可為包括任何適當IC(例如,包括具有如上所述之複數二極體的一或更多電晶體裝置之IC)之半導體產品的重複單元。在半導體產品之製造完成後,晶圓1100可經歷一種單片化製程,其中晶粒1102之各者被彼此分離以提供半導體產品之離散「晶片」。特別地,包括具有如文中所述之獨立擴縮選擇器的嵌入式非揮發性記憶體之結構可具有晶圓1100之形式(例如,非單片化)或晶粒1102之形式(例如,單片化)。晶粒1102可包括一或更多嵌入式非揮發性記憶體結構,獨立地基於用以選路電信號之經擴縮選擇器及/或支援電路、以及任何其他IC組件。於一些實施例中,晶圓1100或晶粒1102可包括額外記憶體裝置(例如,SRAM裝置)、邏輯裝置(例如,AND、OR、NAND、或NOR閘)、或任何其他適當電路元件。這些裝置之多者可被結合在單一晶粒1102上。例如,由多數記憶體裝置所形成的記憶體陣列可被形成在相同晶粒1102上而成為處理裝置或其他邏輯,其被組態成儲存記憶體裝置中之資訊或執行記憶體陣列中所儲存的指令。
文中所述之實施例可被用以製造多種不同類型的積體電路及/或微電子裝置。此類積體電路之範例包括(但不限定於)處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器等等。於其他實施例中,半導體記憶體可被製造。此外,積體電路或其他微電子裝置可被用於本技術中所已知的多種電子裝置。例如,於電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置等等。積體電路可被耦合與系統中之匯流排或其他組件。例如,處理器可藉由一或更多匯流排而被耦合至記憶體、晶片組等等。每一處理器、記憶體、晶片組可潛在地使用文中所述之方式來製造。
注意:圖11A-11B之晶圓1100及/或晶粒1102可包括較少的或額外的組件及/或材料,基於所欲的封裝設計。
圖12係繪示一電子系統1200之概略方塊圖,該電子系統係利用一I/O裝置1210及一微處理器1202,其包括一處理器1204、一記憶體裝置1208、及一控制單元1206,依據一個實施例。圖12為一種電子系統1200之圖示,依據一實施例。電子系統1200可相應於(例如)可攜式系統、電腦系統、程序控制系統、或利用處理器及相關記憶體之任何其他系統。電子系統1200可包括微處理器1202、處理器1204、控制單元1206、記憶體裝置1208、及I/O裝置1210。注意:應理解,電子系統1200可具有複數處理器、控制單元、記憶體裝置單元、及/或I/O裝置在各個實施例中。於一實施例中,電子系統1200具有一組指令,其界定將由處理器1204執行於資料上之操作;以及介於處理器1204、記憶體裝置1208、與I/O裝置1210之間的其他異動。控制單元1206協調處理器1204、記憶體裝置1208及I/O裝置1210之操作,藉由重複循環一組造成指令被提取自記憶體裝置1208並被執行之操作。記憶體裝置1208可包括基材(例如,此類裝置亦可包括如以上圖1-10C中所述之二極體/電晶體裝置)。於一實施例中,記憶體裝置1208被嵌入微處理器1202中,如圖12中所示。於另一實施例中,處理器1204、或電子系統1200之另一組件可包括具有複數二極體/電晶體裝置之基材,諸如文中所述之那些。
注意:圖12之電子系統1200可包括較少的或額外的封裝組件及/或材料,基於所欲的封裝設計。
圖13係一種IC裝置組合的橫斷面側視圖,該IC裝置總成可包括一或更多二極體及/或電晶體裝置(如上所述),依據文中所述之實施例的一或更多者。如圖13中所示,IC裝置總成1300包括具有如文中所述之一或更多IC結構的組件。IC裝置總成1300包括配置在電路板1302(例如,主機板)上之數個組件。IC裝置總成1300包括配置在電路板1302之第一面1340以及電路板1302之相對第二面1342上的組件。通常,組件可被配置在面1340及1342之一者或兩者上。特別地,IC裝置總成1300之組件的任何適合者可包括數個CMOS結構,諸如無基材FinFET二極體及/或電晶體裝置(如文中所述者)。
於一些實施例中,電路板1302可為一種PCB,其包括藉由電介質材料之層而彼此分離且藉由導電通孔而互連的多數金屬層。金屬層之任何一或更多者可被形成以所欲的電路型態來發送電信號(選擇性地配合其他金屬層)於其耦合至電路板1302的組件之間。於其他實施例中,電路板1302可為非PCB基材。
圖13中所繪示的IC裝置總成1300包括中介層上封裝(package-on-interposer)結構1336,其係藉由耦合組件1316而被耦合至電路板1302之第一面1340。耦合組件1316可電氣地且機械地耦合中介層上封裝結構1336至電路板1302,且可包括焊球(如圖13中所示)、插座之公和母部分、黏著劑、下填材料、及/或任何其他適當的電氣及/或機械耦合結構。
中介層上封裝結構1336可包括IC封裝1320,其係藉由耦合組件1318而被耦合至中介層1304。耦合組件1318可具有針對應用之任何適當的形式,諸如以上參考耦合組件1316所討論的形式。雖然圖13係顯示單一IC封裝1320,但多數IC封裝可被耦合至中介層1304。注意:應理解,額外的中介層可被耦合至中介層1304。中介層1304可提供用以橋接電路板1302與IC封裝1320之中間基材。IC封裝1320可為或包括(例如)晶粒(例如,圖5B之晶粒502)、或任何其他適當的組件。通常,中介層1304可將連接延伸至較寬的節距或者將連接重新路由至不同連接。例如,中介層1304可將IC封裝1320(例如,晶粒)耦合至耦合組件1316之一球柵陣列(BGA),以供耦合至電路板1302。於圖13中所示之實施例中,IC封裝1320及電路板1302被安裝至中介層1304之相反側。於其他實施例中,IC封裝1320及電路板1302可被安裝至中介層1304之相同側。於一些實施例中,三或更多組件係經由中介層1304而被互連。
中介層1304可由以下所形成:環氧樹脂、玻璃纖維強化環氧樹脂、陶瓷材料、或聚合物材料(諸如聚醯亞胺)。在一些實施方式中,中介層1304可以替代的堅硬或彈性材料被形成,其可包括用於半導體基材之上述的相同材料,諸如矽、鍺、及其他III-V族或IV族材料。中介層1304可包括金屬互連1310及通孔1308,包括(但不限定於)穿越矽通孔(TSV)1306。中介層1304可進一步包括嵌入式裝置1314,包括被動和主動裝置兩者。此等裝置可包括(但不限定於)電容、解耦電容、電阻、電感、熔絲、二極體、變壓器、感測器、ESD裝置、及記憶體裝置。諸如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感應器、及微電機系統(MEMS)裝置等更複雜的裝置亦可被形成於中介層1304上。中介層上封裝結構1336可具有本技術中所已知的任何中介層上封裝的形式。
IC裝置總成1300包括IC封裝1324,其係藉由耦合組件1322而被耦合至電路板1302之第一面1340。耦合組件1322可具有以上參考耦合組件1316所討論之任何實施例的形式,而IC封裝1324可具有以上參考IC封裝1320所述之任何實施例的形式。
圖13中所繪示的IC裝置總成1300包括堆疊式封裝(package-on-package)結構1334,其係藉由耦合組件1328而被耦合至電路板1302之第二面1342。堆疊式封裝結構1334可包括藉由耦合組件1330而被耦合在一起的IC封裝1326及IC封裝1332,以致其IC封裝1326被配置在電路板1302與IC封裝1332之間。耦合組件1328和1330可具有以上所討論之耦合組件1316的任何實施例之形式,而IC封裝1326和1332可具有以上所討論之IC封裝1320的任何實施例之形式。堆疊式封裝結構1334可依據本技術中所已知的任何堆疊式封裝結構而被組態。
注意:圖13之IC裝置總成1300可包括較少的或額外的封裝組件及/或材料,基於所欲的封裝設計。
圖14係繪示一電腦系統1400之概略方塊圖,該電腦系統係利用一具有複數二極體裝置及/或複數電晶體裝置之裝置封裝1410,依據一個實施例。圖14繪示計算裝置1400之範例。計算裝置1400含有主機板1402。針對一個實施例,主機板1402可類似於圖14之電路板1402。主機板1402可包括數個組件,包括(但不限定於)處理器1404、裝置封裝1410、及至少一通訊晶片1406。處理器1404被實體地及電氣地耦合至主機板1402。於某些實施方式中,至少一通訊晶片1406亦被實體地及電氣地耦合至主機板1402。針對其他實施例,至少一通訊晶片1406為處理器1404之部分。
根據其應用,計算裝置1400可包括其他組件,其可被或可不被實體地及電氣地耦合至主機板1402。這些其他組件包括(但不限定於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位光碟(DVD)等等)。
至少一通訊晶片1406致能無線通訊,以供資料之轉移至及自計算裝置1400。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道等等,其可經由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。至少一通訊晶片1406可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算裝置1400可包括複數通訊晶片1406。例如,第一通訊晶片1406可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片1406可專用於較長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算裝置1400之處理器1404包括封裝於處理器1404內之積體電路晶粒。裝置封裝1410可為(但不限定於)基材、封裝基材、CMOS封裝、微電子裝置、及/或PCB。裝置封裝1410可包括無基材FinFET二極體及/或電晶體裝置,如文中所述(例如,如圖1-10C中所示)。裝置封裝1410亦可包括來自文中所述之圖形的任何其他組件。
注意:裝置封裝1410可為單一組件/裝置、組件之子集、及/或整個系統,因為材料、特徵、及組件可被限制於裝置封裝1410及/或計算裝置1400之任何其他組件,其可能需要如上所述之此類二極體及/或電晶體裝置(例如,主機板1402、處理器1404、及/或計算裝置1400之任何其他組件)。
針對某些實施例,積體電路晶粒可被封裝與基材上之一或更多裝置,其包括熱穩定RFIC及天線,以供配合無線通訊及裝置封裝使用(如文中所述)以減少計算裝置之z高度。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。
至少一通訊晶片1406亦包括封裝於通訊晶片1406內之積體電路晶粒。針對一些實施例,通訊晶片之積體電路晶粒可被封裝與基材上之一或更多裝置,其包括一或更多裝置封裝,如文中所述。
於前述說明書中,實施例已參考其特定範例實施例而被描述。然而,應瞭解:所有這些及類似用語係與適當的物理量關聯並僅為應用於這些量之便利標示。將清楚明白的是:可對其進行各種修改而不背離較寬廣的精神及範圍。說明書及圖式因此將被視為說明性意義而非限制性意義。
下列範例係有關進一步的實施例。不同實施例之各種特徵可與所包括的某些特徵多樣地結合而將其他特徵排除以適合多種不同應用。
下列範例係有關進一步的實施例:
範例1係一種二極體裝置,包含:一第一鰭片區,在一第一導電區及一絕緣體區上方;一第二鰭片區,在一第二導電區及該絕緣體區上方,其中該第二鰭片區係橫向地相鄰於該第一鰭片區,及其中該絕緣體區係介於該第一導電區與該第二導電區之間;一第一導電通孔,在該第一導電區上,其中該第一導電通孔係垂直地相鄰於該第一鰭片區;以及一第二導電通孔,在該第二導電區上,其中該第二導電通孔係垂直地相鄰於該第二鰭片區。
於範例2中,範例1之請求標的可選擇性地包括複數第一部分,在該第一鰭片區上;複數第二部分,在該第二鰭片區上;複數閘極電極,在該第一及第二鰭片區上方,其中該等複數閘極電極係介於該等複數第一與第二部分之間;以及複數導電接點,在該等複數第一及第二部分和該等第一及第二鰭片區上方,其中該等複數閘極電極係介於該等複數導電接點之間。
於範例3中,範例1-2之請求標的可選擇性地包括其該等第一及第二導電通孔被耦合至該等複數導電接點。
於範例4中,範例1-3之請求標的可選擇性地包括其該第一鰭片區包括一第一N型摻雜材料,及其中該第二鰭片區包括一第一P型摻雜材料。
於範例5中,範例1-4之請求標的可選擇性地包括其該等複數第一部分包括一第二N型摻雜材料,及其中該等複數第二部分包括一第二P型摻雜材料。
於範例6中,範例1-5之請求標的可選擇性地包括其該等複數閘極電極包括一多晶矽材料。
於範例7中,範例1-6之請求標的可選擇性地包括複數導電線,在該等複數導電接點上方。
於範例8中,範例1-7之請求標的可選擇性地包括其該第一導電區係一陰極區,其中該第二導電區係一陽極區,及其中該第一鰭片區具有一介面側壁,其係直接地相鄰於並耦合至該第二鰭片區之一介面側壁。
於範例9中,範例1-8之請求標的可選擇性地包括其該等第一及第二導電通孔具有一頂部表面,其係與該等複數導電接點之一頂部表面實質上共面。
於範例10中,範例1-9之請求標的可選擇性地包括其該第一導電通孔被導電耦合至該陰極區,其中該第二導電通孔被導電耦合至該陽極區,及其中該等第一及第二鰭片區之該等介面側壁被置於該絕緣體區上方。
範例11係一種二極體裝置,包含:一第一鰭片區,在一第一導電區及一絕緣體區上方;一第二鰭片區,在一第二導電區及該絕緣體區上方,其中該第二鰭片區係橫向地相鄰於該第一鰭片區,及其中該絕緣體區係介於該第一導電區與該第二導電區之間;一第一導電通孔,在該第一導電區上方,其中該第一導電通孔係平行地相鄰於該第一鰭片區;以及一第二導電通孔,在該第二導電區上方,其中該第二導電通孔係平行地相鄰於該第二鰭片區。
於範例12中,範例11之請求標的可選擇性地包括複數第一部分,在該第一鰭片區上;複數第二部分,在該第二鰭片區上;複數閘極電極,在該等第一及第二鰭片區上方,其中該等複數閘極電極係介於該等複數第一及第二部分之間;複數導電接點,在該等複數第一及第二部分、該等第一及第二鰭片區、以及該等第一及第二導電通孔上方,其中該等複數閘極電極係介於該等複數導電接點之間;以及一絕緣體材料,在該第一導電區及該第二導電區上方,其中該絕緣體材料係介於該第一導電通孔與該第一鰭片區之間,及其中該絕緣體材料係介於該第二導電通孔與該第二鰭片區之間。
於範例13中,範例11-12之請求標的可選擇性地包括其該等第一及第二導電通孔被耦合至該等複數導電接點。
於範例14中,範例11-13之請求標的可選擇性地包括其該第一鰭片區包括一第一N型摻雜材料,及其中該第二鰭片區包括一第一P型摻雜材料。
於範例15中,範例11-14之請求標的可選擇性地包括其該等複數第一部分包括一第二N型摻雜材料,及其中該等複數第二部分包括一第二P型摻雜材料。
於範例16中,範例11-15之請求標的可選擇性地包括其該等複數閘極電極包括一多晶矽材料。
於範例17中,範例11-16之請求標的可選擇性地包括複數導電線,在該等複數導電接點上方。
於範例18中,範例11-17之請求標的可選擇性地包括其該第一導電區係一陰極區,其中該第二導電區係一陽極區,及其中該第一鰭片區具有一介面側壁,其係直接地相鄰於並耦合至該第二鰭片區之一介面側壁。
於範例19中,範例11-18之請求標的可選擇性地包括其該等第一及第二導電通孔具有一頂部表面,其係與該等第一及第二鰭片區之該等複數第一及第二部分的一頂部表面實質上共面。
於範例20中,範例11-19之請求標的可選擇性地包括其該第一導電通孔被導電耦合至該陰極區,其中該第二導電通孔被導電耦合至該陽極區,及其中該等第一及第二鰭片區之該等介面側壁被置於該絕緣體區上方。
範例21係一種電晶體裝置,包含:一第一鰭片區,在一絕緣體區上方,其中該第一鰭片區具有一第一側壁及與該第一側壁相反的一第二側壁;一第二鰭片區,在一第一導電區及該絕緣體區上方,其中該第二鰭片區係橫向地相鄰於該第一鰭片區之該第一側壁;一第三鰭片區,在一第二導電區及該絕緣體區上方,其中該第三鰭片區係橫向地相鄰於該第一鰭片區之該第二側壁,其中該第一鰭片區係由該第二鰭片區及該第三鰭片區所圍繞,及其中該絕緣體區係介於該第一導電區與該第二導電區之間;一第四鰭片區,在一第三導電區上方;一第一導電通孔,在該第一導電區上,其中該第一導電通孔係垂直地相鄰於該第二鰭片區;一第二導電通孔,在該第二導電區上,其中該第二導電通孔係垂直地相鄰於該第三鰭片區;及一第三導電通孔,在該第三導電區上,其中該第三導電通孔被垂直地置於該第四鰭片區之間。
於範例22中,範例21之請求標的可選擇性地包括複數第一部分,在該等第一及第四鰭片區上;複數第二部分,在該等第二及第三鰭片區上;複數閘極電極,在該等第一、第二、第三、及第四鰭片區上方,其中該等複數閘極電極係介於該等複數第一與第二部分之間;複數導電接點,在該等複數第一及第二部分和該等第一、第二、第三、及第四鰭片區上方,其中該等複數閘極電極係介於該等複數導電接點之間,其中該等第一、第二、及第三導電通孔被耦合至該等複數導電接點;以及複數導電線,在該等複數導電接點上方。
於範例23中,範例21-22之請求標的可選擇性地包括其該等第一及第四鰭片區包括一第一N型摻雜材料,及其中該等第二及第三鰭片區包括一第一P型摻雜材料,其中該第一導電區係一射極區,其中該第二導電區係一集極區,及其中該第三導電區係一基極區。
於範例24中,範例21-23之請求標的可選擇性地包括其該等複數第一部分包括一第二N型摻雜材料,其中該等複數第二部分包括一第二P型摻雜材料,其中該等複數閘極電極包括一多晶矽材料,及其中該等第一、第二、及第三導電通孔具有一頂部表面,其係與該等複數導電接點之一頂部表面實質上共面。
於範例25中,範例21-24之請求標的可選擇性地包括其該第一導電通孔被導電耦合至該射極區,其中該第二導電通孔被導電耦合至該集極區,其中該第三導電通孔被導電耦合至該基極區,其中該等複數導電線之一係將該第一鰭片區導電耦合至該第四鰭片區,及其中該基極區係與該等射極和集極區分離。
於前述說明書中,方法及設備已參考其特定範例實施例而被描述。將清楚明白的是:可對其進行各種修改而不背離較寬廣的精神及範圍。說明書及圖式因此將被視為說明性意義而非限制性意義。
闡明本發明的實施方式之上述描述(包括摘要中所述者)不是想要詳盡或者限制本發明於所揭露的精確形式。雖然本發明之特定實施方式(及範例)被描述於文中以供說明性目的,但於本發明之範圍內的各個同等修改是可能的,如那些熟悉相關技藝人士所將理解者。
可根據上述詳細描述以對本發明做出這些修改。以下申請專利範圍中所使用之術語不應被解讀為限制本發明於說明書及申請專利範圍中所揭露的特定實施方式。反之,本發明之範圍應完全由後附申請專利範圍所判定,該申請專利範圍應依據已建立的申請專利範圍解讀原理來解釋。
100:二極體裝置 102:絕緣體區 104:陰極區 106:陽極區 110:背側通孔 112:導電接點 114:閘極電極 116:N型鰭片區 118:P型鰭片區 126:N型外延(epi)生長部分 128:P型epi生長部分 141:選路線 142:選路線 200:二極體裝置 202:絕緣體區 203:絕緣體材料 204:陰極區 206:陽極區 210:平行背側通孔 212:導電接點 214:閘極電極 216:N型鰭片區 218:P型鰭片區 226:N型epi部分 228:P型epi部分 300:二極體裝置 302:絕緣體區 304:陰極區 306:陽極區 310:背側通孔 312a-b:導電接點 314:閘極電極 316:N型鰭片區 318:P型鰭片區 326:N型epi生長部分 328:P型epi生長部分 400:二極體裝置 402:絕緣體區 403:絕緣體材料 404:陰極區 406:陽極區 410:平行背側通孔 412a-b:導電接點 414:閘極電極 416:N型鰭片區 418:P型鰭片區 426:N型epi部分 428:P型epi部分 500:二極體裝置 502:絕緣體區 504:陰極區 506:陽極區 510:背側通孔 512:導電接點 514:閘極電極 516:N型鰭片區 518:P型鰭片區 526:N型epi部分 600:二極體裝置 602:絕緣體區 603:絕緣體材料 604:陰極區 606:陽極區 610:平行背側通孔 612:導電接點 614:閘極電極 616:N型鰭片區 618:P型鰭片區 626:N型epi部分 700:二極體裝置 702:絕緣體區 703:絕緣體材料 704:陰極區 706:陽極區 710:平行背側通孔 712a-b:導電接點 714:閘極電極 716a:N型鰭片區 716b:N型STI區 718a:P型鰭片區 718b:P型STI區 726:N型epi部分 728:P型epi部分 800:電晶體裝置 801:鰭片區 802:絕緣體區 804:射極區 806:集極區 808:基極區 810:背側通孔 812:導電接點 814:閘極電極 816:N型鰭片區 818:P型鰭片區 826:N型epi部分 828:P型epi部分 832,833:箭號 841~843:選路線 900:電晶體裝置 901:鰭片區 902:絕緣體區 903:絕緣體材料 904:射極區 906:集極區 908:基極區 910:平行背側通孔 912:導電接點 913:選路線 914:閘極電極 916,916a:N型鰭片區 916b:STI區 918:P型鰭片區 926:N型epi部分 928:P型epi部分 932,933:箭號 1000:電晶體裝置 1003:絕緣體區 1010:背側通孔 1014:閘極電極 1016:N型鰭片區 1018:P型鰭片區 1026:N型epi部分 1028:P型epi部分 1036:區 1038:P+摻雜能量 1100:晶圓 1102:晶粒 1200:電子系統 1202:微處理器 1204:處理器 1206:控制單元 1208:記憶體裝置 1210:I/O裝置 1300:IC裝置總成 1302:電路板 1304:中介層 1306:穿越矽通孔(TSV) 1308:通孔 1310:金屬互連 1314:嵌入式裝置 1316:耦合組件 1318:耦合組件 1320:單一IC封裝 1322:耦合組件 1324:IC封裝 1326:IC封裝 1328:耦合組件 1330:耦合組件 1332:IC封裝 1334:堆疊式封裝結構 1336:中介層上封裝結構 1340:第一面 1342:第二面 1400:電腦系統 1402:主機板 1404:處理器 1406:通訊晶片 1410:裝置封裝
文中所述之實施例係藉由附圖之圖形中的範例來闡明而非限制,其中相似的參考符號係指示類似的特徵。再者,一些習知的細節已被省略以免混淆文中所述之發明概念。
[圖1]係一橫向二極體之橫斷面視圖及各別平面視圖的圖示,該橫向二極體具有一N型鰭片區、一P型鰭片區、及複數背側通孔,其中該等複數背側通孔係設置在該等各別N型及P型鰭片區之外邊緣上,依據一個實施例。
[圖2]係一橫向二極體之橫斷面視圖及各別平面視圖的圖示,該橫向二極體具有一N型鰭片區、一P型鰭片區、及複數平行背側通孔,其中該等複數平行背側通孔係平行於該等各別N型及P型鰭片區而設置,依據一個實施例。
[圖3]係一雙極性橫向二極體之橫斷面視圖及各別平面視圖的圖示,該雙極性橫向二極體具有一N型鰭片區、一P型鰭片區、及複數背側通孔,依據一個實施例。
[圖4]係一雙極性橫向二極體之橫斷面視圖及各別平面視圖的圖示,該雙極性橫向二極體具有一N型鰭片區、一P型鰭片區、及複數平行背側通孔,其中該等複數平行背側通孔係平行於該等各別N型及P型鰭片區而設置,依據一個實施例。
[圖5]係一垂直二極體之橫斷面視圖及各別平面視圖的圖示,該垂直二極體具有一N型鰭片區、一P型鰭片區、及複數背側通孔,依據一個實施例。
[圖6]係一垂直二極體之橫斷面視圖及各別平面視圖的圖示,該垂直二極體具有一N型鰭片區、一P型鰭片區、及一平行背側通孔,其中該平行背側通孔係平行於該N型鰭片區而設置,依據一個實施例。
[圖7]係一淺隔離二極體之橫斷面視圖及各別平面視圖的圖示,該淺隔離二極體具有一N型鰭片區、一P型鰭片區、及複數平行背側通孔,其中該等複數平行背側通孔係平行於該等各別N型及P型鰭片區而設置,依據一個實施例。
[圖8A]係一橫向三終端帶隙二極體之橫斷面視圖及各別平面視圖的圖示,該橫向三終端帶隙二極體具有一射極區、一集極區、一基極區、一N型鰭片區、一P型鰭片區、及複數背側通孔,依據一個實施例。
[圖8B]係通過一各別橫向三終端帶隙二極體子鰭片的電流路徑之橫斷面視圖的圖示,該各別橫向三終端帶隙二極體子鰭片具有一射極區、一集極區、一基極區、一N型鰭片區、一P型鰭片區、及複數背側通孔,依據一個實施例。
[圖9A]係一淺隔離三終端帶隙二極體之橫斷面視圖的圖示,該淺隔離三終端帶隙二極體具有一射極區、一集極區、一基極區、一N型鰭片區、一P型鰭片區、及複數背側通孔,依據一個實施例。
[圖9B]係通過一各別淺隔離三終端帶隙二極體子鰭片的電流路徑之橫斷面視圖的圖示,該各別淺隔離三終端帶隙二極體子鰭片具有一射極區、一集極區、一基極區、一N型鰭片區、一P型鰭片區、及複數平行背側通孔,依據一個實施例。
[圖10A-10C]係用以形成一橫向二極體之製程流程的各別鰭片及閘極橫斷面視圖,該橫向二極體具有一N型鰭片區、一P型鰭片區、及複數背側通孔,其中該等複數背側通孔係設置在該等各別N型及P型鰭片區之外邊緣上,依據一些實施例。
[圖11A及11B]係一電子裝置之頂部視圖的圖示,該電子裝置具有一晶圓及晶粒,其亦包括複數二極體,依據一些實施例。
[圖12]係繪示一電子系統之概略方塊圖,該電子系統係利用一輸入/輸出(I/O)裝置及一半導體封裝,其包括一處理器、一記憶體裝置、及一控制單元,依據一個實施例。
[圖13]係一包括複數二極體之積體電路(IC)裝置總成的橫斷面視圖,依據一個實施例。
[圖14]係繪示一電腦系統之概略方塊圖,該電腦系統係利用一具有複數二極體之裝置封裝,依據一個實施例。
100:二極體裝置
102:絕緣體區
104:陰極區
106:陽極區
110:背側通孔
112:導電接點
114:閘極電極
116:N型鰭片區
118:P型鰭片區
126:N型外延(epi)生長部分
128:P型epi生長部分
141:選路線
142:選路線

Claims (25)

  1. 一種二極體裝置,包含: 一第一鰭片區,在一第一導電區及一絕緣體區上方; 一第二鰭片區,在一第二導電區及該絕緣體區上方,其中該第二鰭片區係橫向地相鄰於該第一鰭片區,及其中該絕緣體區係介於該第一導電區與該第二導電區之間; 一第一導電通孔,在該第一導電區上,其中該第一導電通孔係垂直地相鄰於該第一鰭片區;以及 一第二導電通孔,在該第二導電區上,其中該第二導電通孔係垂直地相鄰於該第二鰭片區。
  2. 如請求項1之二極體裝置,進一步包含: 複數第一部分,在該第一鰭片區上; 複數第二部分,在該第二鰭片區上; 複數閘極電極,在該等第一及第二鰭片區上方,其中該等複數閘極電極係介於該等複數第一與第二部分之間;以及 複數導電接點,在該等複數第一及第二部分和該等第一及第二鰭片區上方,其中該等複數閘極電極係介於該等複數導電接點之間。
  3. 如請求項2之二極體裝置,其中該等第一及第二導電通孔被耦合至該等複數導電接點。
  4. 如請求項1之二極體裝置,其中該第一鰭片區包括一第一N型摻雜材料,及其中該第二鰭片區包括一第一P型摻雜材料。
  5. 如請求項2之二極體裝置,其中該等複數第一部分包括一第二N型摻雜材料,及其中該等複數第二部分包括一第二P型摻雜材料。
  6. 如請求項2之二極體裝置,其中該等複數閘極電極包括一多晶矽材料。
  7. 如請求項2之二極體裝置,進一步包含複數導電線,在該等複數導電接點上方。
  8. 如請求項1之二極體裝置,其中該第一導電區係一陰極區,其中該第二導電區係一陽極區,及其中該第一鰭片區具有一介面側壁,其係直接地相鄰於並耦合至該第二鰭片區之一介面側壁。
  9. 如請求項2之二極體裝置,其中該等第一及第二導電通孔具有一頂部表面,其係與該等複數導電接點之一頂部表面實質上共面。
  10. 如請求項8之二極體裝置,其中該第一導電通孔被導電耦合至該陰極區,其中該第二導電通孔被導電耦合至該陽極區,及其中該等第一及第二鰭片區之該等介面側壁被置於該絕緣體區上方。
  11. 一種二極體裝置,包含: 一第一鰭片區,在一第一導電區及一絕緣體區上方; 一第二鰭片區,在一第二導電區及該絕緣體區上方,其中該第二鰭片區係橫向地相鄰於該第一鰭片區,及其中該絕緣體區係介於該第一導電區與該第二導電區之間; 一第一導電通孔,在該第一導電區上方,其中該第一導電通孔係平行地相鄰於該第一鰭片區;以及 一第二導電通孔,在該第二導電區上方,其中該第二導電通孔係平行地相鄰於該第二鰭片區。
  12. 如請求項11之二極體裝置,進一步包含: 複數第一部分,在該第一鰭片區上; 複數第二部分,在該第二鰭片區上; 複數閘極電極,在該等第一及第二鰭片區上方,其中該等複數閘極電極係介於該等複數第一與第二部分之間; 複數導電接點,在該等複數第一及第二部分、該等第一及第二鰭片區、以及該等第一及第二導電通孔上方,其中該等複數閘極電極係介於該等複數導電接點之間;以及 一絕緣體材料,在該第一導電區及該第二導電區上方,其中該絕緣體材料係介於該第一導電通孔與該第一鰭片區之間,及其中該絕緣體材料係介於該第二導電通孔與該第二鰭片區之間。
  13. 如請求項12之二極體裝置,其中該等第一及第二導電通孔被耦合至該等複數導電接點。
  14. 如請求項11之二極體裝置,其中該第一鰭片區包括一第一N型摻雜材料,及其中該第二鰭片區包括一第一P型摻雜材料。
  15. 如請求項12之二極體裝置,其中該等複數第一部分包括一第二N型摻雜材料,及其中該等複數第二部分包括一第二P型摻雜材料。
  16. 如請求項12之二極體裝置,其中該等複數閘極電極包括一多晶矽材料。
  17. 如請求項12之二極體裝置,進一步包含複數導電線,在該等複數導電接點上方。
  18. 如請求項12之二極體裝置,其中該第一導電區係一陰極區,其中該第二導電區係一陽極區,及其中該第一鰭片區具有一介面側壁,其係直接地相鄰於並耦合至該第二鰭片區之一介面側壁。
  19. 如請求項12之二極體裝置,其中該等第一及第二導電通孔具有一頂部表面,其係與該等第一及第二鰭片區之該等複數第一及第二部分的一頂部表面實質上共面。
  20. 如請求項18之二極體裝置,其中該第一導電通孔被導電耦合至該陰極區,其中該第二導電通孔被導電耦合至該陽極區,及其中該等第一及第二鰭片區之該等介面側壁被置於該絕緣體區上方。
  21. 一種電晶體裝置,包含: 一第一鰭片區,在一絕緣體區上方,其中該第一鰭片區具有一第一側壁及與該第一側壁相反的一第二側壁; 一第二鰭片區,在一第一導電區及該絕緣體區上方,其中該第二鰭片區係橫向地相鄰於該第一鰭片區之該第一側壁; 一第三鰭片區,在一第二導電區及該絕緣體區上方,其中該第三鰭片區係橫向地相鄰於該第一鰭片區之該第二側壁,其中該第一鰭片區係由該第二鰭片區及該第三鰭片區所圍繞,及其中該絕緣體區係介於該第一導電區與該第二導電區之間; 一第四鰭片區,在一第三導電區上方; 一第一導電通孔,在該第一導電區上,其中該第一導電通孔係垂直地相鄰於該第二鰭片區; 一第二導電通孔,在該第二導電區上,其中該第二導電通孔係垂直地相鄰於該第三鰭片區;以及 一第三導電通孔,在該第三導電區上,其中該第三導電通孔被垂直地置於該第四鰭片區之間。
  22. 如請求項21之電晶體裝置,進一步包含: 複數第一部分,在該等第一及第四鰭片區上; 複數第二部分,在該等第二及第三鰭片區上; 複數閘極電極,在該等第一、第二、第三、及第四鰭片區上方,其中該等複數閘極電極係介於該等複數第一與第二部分之間; 複數導電接點,在該等複數第一及第二部分和該等第一、第二、第三、及第四鰭片區上方,其中該等複數閘極電極係介於該等複數導電接點之間,其中該等第一、第二、及第三導電通孔被耦合至該等複數導電接點;以及 複數導電線,在該等複數導電接點上方。
  23. 如請求項21之電晶體裝置,其中該等第一及第四鰭片區包括一第一N型摻雜材料,及其中該等第二及第三鰭片區包括一第一P型摻雜材料,其中該第一導電區係一射極區,其中該第二導電區係一集極區,及其中該第三導電區係一基極區。
  24. 如請求項23之電晶體裝置,其中該等複數第一部分包括一第二N型摻雜材料,其中該等複數第二部分包括一第二P型摻雜材料,其中該等複數閘極電極包括一多晶矽材料,及其中該等第一、第二、及第三導電通孔具有一頂部表面,其係與該等複數導電接點之一頂部表面實質上共面。
  25. 如請求項24之電晶體裝置,其中該第一導電通孔被導電耦合至該射極區,其中該第二導電通孔被導電耦合至該集極區,其中該第三導電通孔被導電耦合至該基極區,其中該等複數導電線之一係將該第一鰭片區導電耦合至該第四鰭片區,及其中該基極區係與該等射極和集極區分離。
TW109116397A 2019-06-20 2020-05-18 具有背側金屬接點及子鰭片區的無基材鰭式場效電晶體(finfet)二極體架構 TW202115885A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/447,874 US11652107B2 (en) 2019-06-20 2019-06-20 Substrate-less FinFET diode architectures with backside metal contact and subfin regions
US16/447,874 2019-06-20

Publications (1)

Publication Number Publication Date
TW202115885A true TW202115885A (zh) 2021-04-16

Family

ID=73798852

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109116397A TW202115885A (zh) 2019-06-20 2020-05-18 具有背側金屬接點及子鰭片區的無基材鰭式場效電晶體(finfet)二極體架構

Country Status (3)

Country Link
US (1) US11652107B2 (zh)
CN (1) CN112117270A (zh)
TW (1) TW202115885A (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11004849B2 (en) 2019-03-06 2021-05-11 Analog Devices, Inc. Distributed electrical overstress protection for large density and high data rate communication applications
US20210202472A1 (en) * 2019-12-27 2021-07-01 Intel Corporation Integrated circuit structures including backside vias
US20210296306A1 (en) * 2020-03-18 2021-09-23 Mavagail Technology, LLC Esd protection for integrated circuit devices
US11462282B2 (en) * 2020-04-01 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory structure
US11595036B2 (en) * 2020-04-30 2023-02-28 Analog Devices, Inc. FinFET thyristors for protecting high-speed communication interfaces
US11637099B2 (en) * 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US11569223B2 (en) * 2020-10-30 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for fabricating the same
US11735579B2 (en) * 2021-06-24 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Electrostatic discharge prevention
US20220415880A1 (en) * 2021-06-24 2022-12-29 Intel Corporation Substrate-less diode, bipolar and feedthrough integrated circuit structures
US20220415881A1 (en) * 2021-06-24 2022-12-29 Intel Corporation Substrate-less silicon controlled rectifier (scr) integrated circuit structures
US20220415877A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Electrostatic discharge protection diode for back-side power delivery technologies and methods of fabrication
US20220415925A1 (en) * 2021-06-25 2022-12-29 Intel Corporation Substrate-less lateral diode integrated circuit structures

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
KR102311937B1 (ko) * 2014-09-23 2021-10-14 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자 및 그 형성 방법
WO2020235084A1 (ja) * 2019-05-23 2020-11-26 株式会社ソシオネクスト 半導体装置

Also Published As

Publication number Publication date
CN112117270A (zh) 2020-12-22
US11652107B2 (en) 2023-05-16
US20200403007A1 (en) 2020-12-24

Similar Documents

Publication Publication Date Title
TW202115885A (zh) 具有背側金屬接點及子鰭片區的無基材鰭式場效電晶體(finfet)二極體架構
TWI818016B (zh) 裝置層互連
US11081559B1 (en) Backside contact of a semiconductor device
TW201724270A (zh) 形成背側自對準通孔的方法及所形成的結構
US11362189B2 (en) Stacked self-aligned transistors with single workfunction metal
TW202101733A (zh) 具有閘極或接點插塞之自對準閘極端蓋(sage)架構
US11705453B2 (en) Self-aligned gate endcap (SAGE) architecture having local interconnects
US20200212038A1 (en) Self-aligned stacked ge/si cmos transistor structure
US20220285342A1 (en) Deep trench via for three-dimensional integrated circuit
CN111755441A (zh) 具有垂直沟槽的源极或漏极结构
WO2019066879A1 (en) GROUP III-N SEMICONDUCTOR FUSES AND METHODS OF MAKING THE SAME
TW201606983A (zh) 具有整合高電壓元件之矽晶粒
CN108292674B (zh) 形成掺杂源极/漏极触点的方法及由其形成的结构
KR102553784B1 (ko) 랩-어라운드 콘택들을 제조하기 위한 금속 화학 기상 증착 접근법들 및 결과 구조들
US11830774B2 (en) Buried contact through fin-to-fin space for vertical transport field effect transistor
US11721766B2 (en) Metal-assisted single crystal transistors
US20240105608A1 (en) Local frontside power rail with global backside power delivery
TWI839470B (zh) 具有垂直溝槽之源極或汲極結構
EP4141931A1 (en) Techniques for protecting integrated circuitry from plasma-induced electrostatic discharge using a carrier substrate with junction features
EP4203072A1 (en) Transistors with epitaxial source/drain liner for improved contact resistance
US20240136289A1 (en) Virtual power supply through wafer backside
TW202101768A (zh) 具有閘極接點之自對準閘極端蓋(sage)架構
WO2019132943A1 (en) Lateral epitaxial overgrowth in integrated circuits