TW202114049A - 製造半導體結構的裝置與製造半導體結構的方法 - Google Patents

製造半導體結構的裝置與製造半導體結構的方法 Download PDF

Info

Publication number
TW202114049A
TW202114049A TW109108811A TW109108811A TW202114049A TW 202114049 A TW202114049 A TW 202114049A TW 109108811 A TW109108811 A TW 109108811A TW 109108811 A TW109108811 A TW 109108811A TW 202114049 A TW202114049 A TW 202114049A
Authority
TW
Taiwan
Prior art keywords
focus ring
ring
wafer
actuator
chuck
Prior art date
Application number
TW109108811A
Other languages
English (en)
Inventor
蒯光國
劉世國
王文志
陳信良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202114049A publication Critical patent/TW202114049A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本揭露提供一種用於製造一半導體結構之裝置,該裝置包含:一卡盤;一邊緣環,其圍繞該卡盤,其中該邊緣環包括一腔體;一聚焦環,其鄰近該卡盤之一邊緣且在該邊緣環上方;及一第一致動器,其在該邊緣環之該腔體中且與該聚焦環接合。

Description

製造半導體結構的裝置與製造半導體結構的方法
本發明實施例係有關製造半導體結構的裝置與製造半導體結構的方法。
半導體器件用於各種電子應用中,諸如(舉例而言)個人電腦、行動電話、數位相機或其他電子設備。半導體產業藉由減小構件之臨界尺寸而持續改良各種類型之電子組件(例如,二極體、電晶體、電阻器、電容器等)之整合密度。然而,在開發期間可能出現一些問題。
製造半導體器件通常需要電漿操作。舉例而言,電漿蝕刻操作、灰化、電漿輔助沉積係一些廣泛使用操作。改良涉及電漿操作之器件製造之良率係待解決問題之一者。
本發明的一實施例係關於一種用於製造一半導體結構之裝置,其包括:一卡盤;一邊緣環,其圍繞該卡盤,其中該邊緣環包括一腔體;一聚焦環,其鄰近該卡盤之一邊緣且在該邊緣環上方;及一第一致動器,其在該邊緣環之該腔體中且與該聚焦環接合。
本發明的一實施例係關於一種用於製造一半導體結構之裝置,其包括:一卡盤;一邊緣環,其圍繞該卡盤;一聚焦環,其鄰近該卡盤之一邊緣且在該邊緣環上方;及一壓電轉換器組件,其與該聚焦環接合。
本發明的一實施例係關於一種用於製造一半導體結構之方法,其包括:在一卡盤上提供一晶圓,其中該晶圓被一聚焦環圍繞,該聚焦環由一致動器支撐且在一邊緣環上方;對該晶圓之一表面執行電漿蝕刻;及藉由該致動器將一氣體分配板(GDP)與該聚焦環之一頂部表面之間之一距離控制為小於一臨限值。
以下揭露提供用於實施所提供之標的之不同構件之許多不同實施例或實例。下文描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且非旨在限制。舉例而言,在以下描述中之一第一構件形成於一第二構件上方或上可包含其中該第一構件及該第二構件經形成為直接接觸之實施例,且亦可包含其中額外構件可形成在該第一構件與該第二構件之間,使得該第一構件及該第二構件可不直接接觸之實施例。另外,本揭露可在各個實例中重複元件符號及/或字母。此重複出於簡化及清楚之目的且本身不指示所論述之各個實施例及/或組態之間之一關係。
此外,為便於描述,諸如「在…下面」、「在…下方」、「下」、「在…上方」、「上」及類似者之空間相對術語可在本文中用於描述一個元件或構件與另一(些)元件或構件之關係,如圖中圖解說明。空間相對術語意欲涵蓋除在圖中描繪之定向以外之使用或操作中之器件之不同定向。裝置可以其他方式定向(旋轉90度或按其他定向)且因此可同樣解釋本文中使用之空間相對描述詞。
儘管陳述本揭露之廣泛範疇之數值範圍及參數係近似值,然儘可能精確地報告特定實例中陳述之數值。然而,任何數值本質上含有必然由各自測試量測中發現之標準偏差所引起之某些誤差。再者,如本文中使用,術語「實質上」、「約」或「大約」通常意謂在一般技術者可預期之一值或範圍內。替代地,術語「實質上」、「約」或「大約」意謂在由一般技術者考量時在平均值之一可接受標準誤差內。一般技術者可瞭解,可接受標準誤差可根據不同技術而變動。除了在操作/工作實例中以外,或除非另有明確指定,否則全部數值範圍、量、值及百分比(諸如針對材料數量、持續時間、溫度、操作條件、量之比率及本文中揭露之其類似者之數值範圍、量、值及百分比)應被理解為在全部例項中由術語「實質上」、「約」或「大約」修飾。因此,除非相反地指示,否則本揭露及隨附發明申請專利範圍中陳述之數值參數係可視需要變動之近似值。至少,各數值參數應至少依據所報告有效數字之數目且藉由應用普通捨入技術而理解。可在本文中將範圍表達為自一個端點至另一端點或在兩個端點之間。除非另有指定,否則本文中揭露之全部範圍皆包含端點。
製造一半導體器件可能需要涉及電漿之操作,諸如電漿蝕刻操作、灰化操作、電漿輔助沉積操作或類似者。通常,可將一晶圓放置於一電漿操作裝置中,且在裝置中產生電漿並將其施加至晶圓之一表面。
在一電漿操作期間,歸因於晶圓之周邊區域處之不連續/非均勻偏壓電位,可能誘發晶圓邊緣蝕刻輪廓傾斜之現象(或在一些情況下,邊緣效應)。明確言之,若一晶圓與一氣體分配板之間之一距離在一特定位置(例如,晶圓周邊)突然改變(增大/減小),則電漿鞘彎曲可能在該處發生。此狀況可能導致製造器件之非均勻性輪廓(例如,傾斜結構、歪曲結構、尺寸錯誤的結構、偏移圖案等) (尤其在晶圓之周邊區域中),且藉此劣化製造器件之良率。在一些實施例中,電漿裝置可包含圍繞晶圓之一聚焦環以改良電漿操作之均勻性。明確言之,藉由將一聚焦環放置於晶圓周圍,歸因於一晶圓與晶圓之一周邊區域及緊接在晶圓外部之一外部區域處之一氣體分配板之間之一距離之減小差,可減輕晶圓邊緣蝕刻輪廓傾斜之現象,其中可減小不連續偏壓電位。
然而,可能出現將一聚焦環併入至一電漿操作裝置之挑戰,此係因為歸因於電漿離子之轟擊,聚焦環可能遭受材料損失。隨時間流逝,此材料損失可能導致聚焦環與聚焦環及晶圓上方之一氣體分配板之間之距離之差,因此可能發生局部電漿鞘彎曲,從而導致晶圓邊緣蝕刻輪廓之傾斜。因此,可能需要頻繁更換聚焦環以至少防止晶圓邊緣蝕刻輪廓傾斜。然而,頻繁更換聚焦環可能費時且費力。
本揭露提供一種用於製造一半導體結構之裝置及一種用於製造一半導體結構之方法以便減輕通常在晶圓之周邊區域處發生之晶圓邊緣蝕刻輪廓傾斜。此外,可增加聚焦環之壽命,藉此減少時間及/或勞動力之消耗。應注意,在本揭露之一些實施例中,提供電漿蝕刻操作作為一實例。然而,本揭露不限於應用於涉及(若干)電漿蝕刻操作之器件製造。本揭露中提供之裝置及方法亦可應用於涉及電漿操作(諸如灰化、電漿輔助沉積、電漿移除、其他沉積或蝕刻操作、或涉及將電漿施加至一基板之一表面之任何其他操作)之任何其他器件製造。
參考圖1,圖1係圖解說明根據本揭露之一些實施例之一電漿操作裝置之一示意圖。一電漿操作系統1至少包含一腔室1'、一控制器5、及經組態以將一晶圓9支撐於腔室1'中的一晶圓支撐件309。一氣體供應器2耦合至腔室1',其中控制器5控制供應至腔室1'中之氣體之流率。在一些實施例中,氣體可包含待由電漿操作系統1執行之一操作中所涉及之載體氣體及/或反應物(諸如蝕刻劑)。在一些實施例中,藉由氣體供應器2供應之氣體透過包含晶圓支撐件309上方之複數個孔隙之一氣體分配板(GDP) 3傳輸至腔室1'中,藉此改良分配供應氣體之均勻性及腔室1'中產生之所產生電漿之均勻性。視情況,電漿操作系統1進一步包含用於從腔室1'移除氣體的一排氣管7,其中可藉由控制器5控制排氣速率。
在一些實施例中,可利用圍繞腔室1'之一線圈來產生電漿。替代地,腔室1'可包含一上部RF產生器及耦合至該上部RF產生器之一上部電極,且進一步包含一下部RF產生器及耦合至該下部RF產生器之一下部電極。藉由加電偏壓,可將傳入氣體點燃成電漿。應注意,產生電漿之方法不限於本揭露,其中可利用用於產生電漿之任何適合方法。用於產生電漿之組件可連接至控制器5以便控制電漿之產生。
參考圖1、圖2、圖3A及圖3B,根據本揭露之一些實施例,圖2係圖解說明一晶圓支撐件之一俯視圖之一示意圖,圖3A係圖解說明一晶圓支撐件之一部分之一放大剖面圖之一示意圖,圖3B係圖解說明一晶圓支撐件之一部分之一分解圖之一示意圖。晶圓支撐件309至少包含經組態以固定晶圓9的一卡盤100 (諸如一靜電卡盤或類似者)、一致動器200、及一聚焦環101。聚焦環101鄰近且圍繞晶圓支撐件309之卡盤100;且在藉由晶圓支撐件309支撐一晶圓9時,晶圓9之一邊緣被聚焦環101圍繞。在一些實施例中,聚焦環101包含矽或矽衍生物,諸如單晶矽或其他適合材料。晶圓支撐件309可進一步包含圍繞卡盤100及聚焦環101的一邊緣環111,其中聚焦環101在邊緣環111之一部分上方。換言之,邊緣環111之一部分介於聚焦環101與卡盤100之間。在一些實施例中,邊緣環111可包含石英。在一些實施例中,邊緣環111可包含多個部分。舉例而言,邊緣環111可包含圍繞卡盤100之一邊緣的一聚焦環石英部分112,其中聚焦環石英部分112具有在聚焦環101下方且介於聚焦環101與卡盤100之間的一部分、及/或橫向鄰近聚焦環101且介於聚焦環101與卡盤100之間的一部分。邊緣環111可進一步包含圍繞聚焦環101之一外側壁及/或聚焦環石英部分112之一外側壁/一頂部表面的一罩蓋環石英部分114。邊緣環111可進一步包含在罩蓋環石英部分114及聚焦環石英部分112下方的一絕緣體環部分113,其中絕緣體環部分113之一部分介於卡盤100與聚焦環石英部分112之一底部表面及/或罩蓋環石英部分114之一部分之間。在一些實施例中,聚焦環石英部分112、絕緣體環部分113及/或罩蓋環石英部分114可包含石英。應注意,本揭露中之邊緣環111之組合物不限於包含聚焦環石英部分112、絕緣體環部分113及/或罩蓋環石英部分114之上述實例。可利用用於支撐聚焦環101之任何其他適合環作為邊緣環111。一第一間隙分離GS1介於晶圓9 (在放置於卡盤100上時)之一側壁與聚焦環101之一內側壁之間,且一第二間隙分離GS2介於聚焦環101之一外側壁與邊緣環111之一內側壁之間,藉此藉由避免前述兩個相對移動表面之間之摩擦而減輕粒子問題之風險。在一些實施例中,第二間隙分離GS2之一尺寸係在從約0.15 mm至約0.4 mm之一範圍中。
邊緣環111進一步包含一腔體C及放置於腔體C內的一致動器200。在包含聚焦環石英部分112、絕緣體環部分113及罩蓋環石英部分114之邊緣環111之一些實施例中,腔體C被聚焦環石英部分112、絕緣體環部分113及/或罩蓋環石英部分114圍繞。致動器200與聚焦環111之一背表面接合,其中致動器200可包含經組態以施加力以支撐聚焦環111的一提升銷202。視情況,致動器200進一步包含至少部分圍繞並覆蓋提升銷202之一外表面的一提升銷帽210,且提升銷帽210直接接觸聚焦環111之背表面,其中提升銷帽210可包含絕緣材料以減輕由放電導致之損壞,諸如鐵氟龍(Teflon)、陶瓷、矽、石英或任何其他適合絕緣材料。對於一例示性實施例,邊緣環111之一外表面與致動器200之間之一最小尺寸D1係在從約4.925 mm至約5.125 mm的範圍中,其中鄰近腔體C之邊緣環111之一機械強度足夠穩健,同時提供一足夠大腔體C用於容納致動器200。卡盤100之一頂部表面100s (或晶圓9之底部表面)與致動器200之一底部表面之間之一距離D2係在從約10.25 mm至約12.25 mm的範圍中。提升銷帽210之一頂部表面之一尺寸D3 (或一直徑)可在從約7.2 mm至約9.2 mm的範圍中,此提供足夠絕緣及足夠機械強度以支撐聚焦環111。致動器200之一中心(或提升銷202之一中心)與晶圓9 (在放置於卡盤100上時)之一側壁之間之一距離D4係在從約24.9 mm至約26.9 mm的範圍中。應注意,可基於晶圓支撐件309之結構或材料來調整前述尺寸或距離。
在一些實施例中,晶圓支撐件309包含一個以上致動器200以在藉由與晶圓9接合之聚焦環111支撐或提升晶圓9時改良平衡,其中致動器200之各者實質上在一相同虛平面處調平(即,各致動器200之提升銷202之各者之頂部表面實質上在一相同虛平面處調平),其中在各兩個致動器200之間相對於卡盤100之一中心量測之一平面角之各者實質上相同。如圖2中提供之實例中展示,晶圓支撐件309包含在一相同虛平面處調平之三個致動器200 (一第一致動器200A、一第二致動器200B及一第三致動器200C)以提供更平衡支撐力,其中在第一致動器200A與第二致動器200B之間量測之一第一平面角θ1實質上與在第一致動器200A與第三致動器200C之間量測之一第二平面角θ2相同。在包含三個致動器200之晶圓支撐件309之情況下,第一平面角θ1及第二平面角θ2可為約120度。
參考圖1、圖3A、圖3B、圖4A及圖4B,根據本揭露之一些實施例,圖4A係圖解說明一致動器之一俯視圖之一示意圖,圖4B係圖解說明一致動器之一剖面圖之一示意圖。在一些實施例中,致動器200可為一壓電轉換器組件,其至少包含一壓電層201。在一些實施例中,藉由一外環272固定壓電層201之一邊緣。在一些實施例中,外環272由金屬製成。替代地,在一些其他實施例中,外環272包含絕緣材料,諸如絕緣陶瓷材料。一內環252視情況放置於壓電層201之一中心處,且提升銷202經放置於內環252 (或壓電層201之一中心)上方,其中內環252可包含金屬,諸如不鏽鋼。在一些實施例中,致動器200可包含一腔室CPZ,其中壓電層201之至少一部分在腔室CPZ中,腔室CPZ可具有低於標準壓力(舉例而言,小於100毫托)之一腔室壓力,且腔室CPZ之側壁可包含因此能夠承受環境與腔室CPZ之間之壓力差的金屬。
控制器5可控制電力供應器6以透過連接至壓電層201之一導線290將一電壓施加至壓電層201,藉此可藉由調整施加於其上之電壓而控制壓電層201之一位移輪廓。由於壓電層201之一邊緣固定,因此壓電層201之中心可向上變形且其位移大於在藉由一電壓施加時邊緣區域處之一位移,藉此提升提升銷202且透過提升銷帽210在聚焦環101之背側處施加一力。舉例而言,藉由電力供應器6供應之致動器200之可操作電壓之一範圍係在從0 V至約1,000 V的範圍中,且提升銷202之一頂部表面之對應位移係在從約0微米至約140微米的範圍中,或在一些其他實例中,在從0微米至300微米的範圍中。反之亦然,當電力供應器6停止提供電壓至致動器200 (即,回至0 V)時,中心區域處之變形減少。在一些情況下,由於壓電層201可能出現磁滯循環現象,因此位移與供應電壓之間之前述關係可能藉此變為非線性。因此,在一些實施例中,繼發生減小供應電壓或關閉致動器200之後,可執行一校準操作以改良在後續循環中位移與供應電壓之間之一關係之線性,藉此改良控制之準確度。
在一些實施例中,為促成致動器200與邊緣環111之間之黏著以及致動器200之平衡,可利用一或多個螺釘220來將致動器200之外環272固定至邊緣環111 (諸如絕緣體環部分113)。此外,為減輕由螺釘220與邊緣環111 (諸如聚焦環石英部分112及/或罩蓋環石英部分114)之間之直接接觸導致之粒子問題或刮擦,可利用一螺帽229以至少部分覆蓋螺釘220之螺釘頭。在一些實施例中,螺帽229可包含矽。
參考圖4C,圖4C係圖解說明根據本揭露之一些實施例之崩潰電壓與腔室氣壓乘以一卡盤與一致動器之間之一距離之一乘積之間之一關係的一圖式。在一些實施例中,由於卡盤100經電偏壓,因此(若干)致動器200與卡盤100電隔離以減輕放電(諸如電弧作用)或電干擾。在一些實施例中,一距離係(若干)致動器200與卡盤100之間之間距。在一些實施例中,距離係在從約0.08 cm至約0.2 cm的範圍中。根據崩潰電壓與腔室CPZ中之腔室氣壓乘以一卡盤100與致動器200之間之距離之一乘積之間的一關係(如圖4C中展示),當腔室CPZ中之腔室氣壓乘以距離之乘積在一範圍內(舉例而言,從約0.1托-cm至約100托-cm)時,崩潰電壓可能低於一最小臨限崩潰電壓Vb_min。當崩潰電壓小於Vb_min時,可能容易誘發電弧作用或放電且其等導致損壞。因此,為減輕電弧作用或放電,致動器200與卡盤100之間之距離大於特定值(諸如大於約0.08 cm)。另一方面,從組裝組態之態樣看,致動器200及卡盤100未間隔過遠(諸如小於約0.2 cm)可節省空間。然而,應注意,可根據腔室CPZ中之腔室氣壓來調整距離。在一些其他實施例中,運用一絕緣處理來執行致動器,例如,在其表面上形成一介電膜塗層,諸如執行陶瓷粉末之電漿噴塗以形成一絕緣層,其中此絕緣層之一厚度可在從約50微米至100微米的範圍中。在一些其他實施例中,卡盤100經受類似絕緣處理,諸如執行陶瓷粉末之電漿噴塗以形成一絕緣層,其中此絕緣層之一厚度可在從約50微米至100微米的範圍中。替代地,藉由選取陶瓷作為卡盤100之一材料,(若干)致動器200及/或致動器200之外部本體272可與卡盤100電隔離,藉此可減輕放電。
返回參考圖1及圖3A,視情況,電漿操作系統1進一步包含一校準器8,該校準器8經組態以監測GDP 3與晶圓9之頂部表面之間之一距離S0、GDP 3與聚焦環101之一頂部表面之間之一距離S、及/或聚焦環101之一厚度T。在一些實施例中,一應變計可併入至致動器200中,諸如附接或耦合至壓電層201。在一些實施例中,應變計可附接至壓電層201之一表面(諸如一側表面、一背表面或一前表面)或內部。應變計可容易偵測壓電層201之變形程度,藉此出於校準之目的可立即提供前述位移作為回饋。可將獲取之資訊傳輸至控制器5。可藉由軟體實施本揭露中之控制器5,使得可自動執行其中揭露之前述方法。對於一給定電腦,可將軟體常式儲存於一儲存器件(諸如一永久記憶體)上。替代地,軟體常式可為使用任何機器可讀儲存媒體(諸如一磁碟、CD-ROM、磁帶、數位視訊或多功能光碟(DVD)、雷射光碟、ROM、快閃記憶體等)儲存的機器可執行指令。可從一遠端儲存器件(諸如一網路上之一伺服器)接收一系列指令。亦可在硬體系統、微控制器單元(MCU)模組、離散硬體或韌體中實施本揭露。此外,控制器5可包含用以儲存一預設排程的一計時器及/或一記憶體。在一些實施例中,校準器8可包含用於獲取聚焦環101之頂部表面與GDP 3之一底部表面之間之距離S的一聲學收發器。在一些實施例中,校準器8可包含用於獲取聚焦環101之一厚度的一器件(諸如一光學監測器)。
應注意,儘管在圖5A至圖8C中提供電漿蝕刻操作作為實例,然電漿蝕刻之操作可被其他電漿操作取代/與其他電漿操作組合,諸如灰化、電漿輔助沉積(諸如電漿輔助化學氣相沉積)或類似者。
參考圖5A,圖5A展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。用於製造一半導體結構之方法1000包含在一卡盤上提供一晶圓(操作1001,其可參考圖1或圖6A),及對晶圓之一表面執行電漿蝕刻(操作1008,其可參考圖6A)。操作1008可包含藉由致動器將一氣體分配板(GDP)與聚焦環之一頂部表面之間之一距離控制為小於一臨限值(子操作1011,其可參考圖6A至圖6B及圖7A或圖7A')。
參考圖5B,圖5B展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。用於製造一半導體結構之方法2000包含:將一聚焦環放置於一致動器上方(操作2004,其可參考圖1或圖6A);在一卡盤上提供一晶圓(操作2007,其可參考圖6A);及對晶圓之一表面執行電漿蝕刻(操作2013,其可參考圖6A至圖6B)。操作2013可包含在電漿蝕刻期間藉由調整供應至致動器之一電壓而增加聚焦環之一底部表面與邊緣環之一頂部表面之間之一間隙高度(子操作2018,其可參考圖6A至圖6B及圖7A或圖7A')。
參考圖5C,圖5C展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。用於製造一半導體結構之方法3000包含:獲取一預設時間排程(操作3001,其可參考圖6A);將一聚焦環放置於一致動器上方(操作3004,其可參考圖1或圖6A);在一卡盤上提供一晶圓(操作3007,其可參考圖1或圖6A);及對晶圓之一表面執行電漿蝕刻(操作3009,其可參考圖6A至圖6B)。操作3009可包含在電漿蝕刻期間根據預設時間排程來增加聚焦環之一底部表面與邊緣環之一頂部表面之間之一間隙高度(子操作3011,其可參考圖6A至圖6B)。
參考圖5D,圖5D展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。用於製造一半導體結構之方法4000包含:將一聚焦環放置於一致動器上方(操作4001,其可參考圖1或圖6A);在一卡盤上提供一晶圓(操作4003,其可參考圖1或圖6A);及對晶圓之一表面執行電漿蝕刻(操作4005,其可參考圖6A至圖6B)。操作4005可包含獲取一GDP與聚焦環之一頂部表面之間之一距離(子操作4007,其可參考圖1及圖6A至圖6B)及在電漿蝕刻期間根據GDP與聚焦環之頂部表面之間之距離來調整聚焦環之一底部表面與邊緣環之一頂部表面之間之一間隙高度(子操作4011,其可參考圖6A至圖6B及圖7B或圖7B')。
參考圖5E,圖5E展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。用於製造一半導體結構之方法5000包含:將一聚焦環放置於一致動器上方(操作5001,其可參考圖1或圖6A);在一卡盤上提供一晶圓(操作5003,其可參考圖1或圖6A);及對晶圓之一表面執行電漿蝕刻(操作5005,其可參考圖6A至圖6B)。操作5005可包含獲取聚焦環之一厚度(子操作5007,其可參考圖1及圖6A至圖6B)及在電漿蝕刻期間根據聚焦環之厚度來調整聚焦環之一底部表面與邊緣環之一頂部表面之間之一間隙高度(子操作5011,其可參考圖6A至圖6B及圖7C)。
參考圖1、圖6A及圖6B,根據本揭露之一些實施例,圖6A係圖解說明一晶圓支撐件之一部分及一氣體分配板之一部分之一放大剖面圖的一示意圖,圖6B係圖解說明一晶圓支撐件之一部分及一氣體分配板之一部分之一放大剖面圖的一示意圖。將至少一個致動器200放置於晶圓支撐件309之邊緣環111之一腔體C中。在一些實施例中,在組合聚焦環石英部分112、絕緣體環部分113及罩蓋環石英部分114之後,藉此形成一腔體C。隨後將一聚焦環101放置於致動器200上,其中聚焦環101之一背表面與提升銷帽210 (或提升銷202)之一頂部表面接合。提供一晶圓9且將其放置於晶圓支撐件309之卡盤100上,其中一距離S0在晶圓9之頂部表面與晶圓支撐件309上方之一GDP 3之一底部表面之間且一距離S在聚焦環101之頂部表面與GDP 3之一底部表面之間。在執行一電漿操作,諸如對晶圓9執行電漿蝕刻操作之前,晶圓9之一頂部表面係或經調整為實質上與聚焦環101之一頂部表面調平。換言之,聚焦環101之頂部表面與GDP 3之一底部表面之間之距離S經調整以變為S1,S1實質上與晶圓9之頂部表面與一GDP 3之底部表面之間之距離S0相同。此外,聚焦環101具有一初始厚度t1,且一初始間隙高度H1在聚焦環101之底部表面與邊緣環111之一頂部表面111s(或明確言之在一些實例中,邊緣環111之聚焦環石英部分112)之間。因此,對晶圓9執行一電漿操作(諸如電漿蝕刻操作),其中透過GDP 3供應氣體以便在腔室1'中產生電漿。在一些實施例中,電力供應器在電漿蝕刻操作開始時將一初始電壓V1提供至致動器200。在一些實施例中,V1係0 V。在一些其他實施例中,V1大於0 V但低於最大可操作電壓(諸如1,000 V)。
在對晶圓9執行電漿蝕刻期間,控制器5藉由調整由電力供應器6供應至致動器200之電壓而控制並調整聚焦環101之頂部表面與GDP 3之底部表面之間之距離S。藉由在對晶圓9執行電漿蝕刻期間提供大於V1之一電壓V2,一壓電層201之一中心部分之一位移擴大且藉此提升放置於其上之提升銷202及與提升銷202接合之聚焦環101。明確言之,由於聚焦環101之一厚度T可在電漿蝕刻操作期間減小,舉例而言減小至小於t1之t2,因此聚焦環101之底部表面與邊緣環111之一頂部表面111s之間之間隙高度H1增加至大於H1之H2,藉此減小電漿蝕刻操作期間之距離S0與距離S之間之差。藉此可減輕晶圓邊緣蝕刻輪廓傾斜之現象。換言之,提升銷202之一頂部表面之位移補償在電漿蝕刻操作期間聚焦環101之厚度T之損失。
參考圖6A、圖6B、圖7A及圖7B,根據本揭露之一些實施例,圖7A係圖解說明一總電漿蝕刻操作時間與一邊緣環之一頂部表面和一聚焦環之一底部表面之間之一距離之間之一關係的一圖式,圖7B係圖解說明一總電漿蝕刻操作時間與一氣體分配板和一聚焦環之一頂部表面之間之一距離之間之一關係的一圖式。在執行電漿蝕刻操作期間,在操作時間(其亦被稱為RF小時)期間藉由調整供應至致動器200之電壓而將聚焦環101之頂部表面與GDP 3之底部表面之間之距離S調整為實質上與晶圓9之頂部表面與一GDP 3之底部表面之間之距離S0相同。因此,在操作時間期間藉由調整供應至致動器200之電壓而增加聚焦環101之底部表面與邊緣環111之頂部表面111s之間之間隙高度。應注意,由於歸因於壓電層201之材料之限制而可能限制提升銷202之最大位移,因此聚焦環101之底部表面與邊緣環111之頂部表面111s之間之間隙高度可僅能夠增加直至一最大間隙高度H_max。舉例而言,最大間隙高度H_max等於或小於140微米。舉另一實例,最大間隙高度H_max等於或小於300微米。
應注意,本文中在本揭露中,操作時間(或追溯至操作開始之總操作時間)不限於對一個晶圓或僅一批晶圓執行之一單個操作。對一個晶圓、一批晶圓、多個晶圓、複數批晶圓、或甚至在數天/週/月之一週期內執行之操作可被視為在總操作時間內。可視情況省略各操作之間之閒置時間。在一些實施例中,可藉由控制器5之一計時器量測總操作時間。在一些實施例中,可根據計時器實施藉由控制器5獲取/儲存之預設時間排程,且總操作時間追溯至一初始參考點(在一些實例中,其可為一電漿操作之開始)。
在一些實施例中,基於藉由控制器5獲取及/或儲存之一預設排程來調整供應至致動器200之電壓。控制器5控制增加聚焦環101之頂部表面與GDP 3之底部表面之間之距離S的速率,或增加聚焦環101之底部表面與邊緣環111之頂部表面111s之間之間隙高度的速率。預設排程可基於先前經歷電漿蝕刻操作之晶圓9之經驗或結果。在一些實施例中,供應至致動器200之電壓之量值與藉由控制器5之計時器獲取之一經計數時段正相關。
替代地,基於由一校準器8提供之一回饋來調整致動器200,其中校準器8基於聚焦環101之頂部表面與GDP 3之一底部表面之間之距離S、或替代地聚焦環101之一厚度T來提供回饋。在一些實施例中,即時將回饋提供至控制器5,因此可改良控制致動器200之準確度。在一些實施例中,校準器8可包含用於獲取聚焦環101之頂部表面與GDP 3之一底部表面之間之距離S的一聲學收發器。當聚焦環101之頂部表面與GDP 3之一底部表面之間之距離S增加時,聚焦環101之底部表面與邊緣環111之頂部表面111s之間之間隙高度增加以補償其,藉此距離S可在電漿蝕刻操作期間保持實質上相同。在一些實施例中,校準器8可包含用於獲取聚焦環101之一厚度T的一光學器件。當聚焦環101之厚度T減小時,聚焦環101之底部表面與邊緣環111之頂部表面111s之間之間隙高度增加以補償聚焦環101之減小厚度,藉此距離S可在電漿蝕刻操作期間保持實質上相同。
參考圖6A、圖6B、圖7A'及圖7B',根據本揭露之一些實施例,圖7A'係圖解說明一總電漿蝕刻操作時間與一邊緣環之一頂部表面和一聚焦環之一底部表面之間之一距離之間之一關係的一圖式,圖7B'係圖解說明一總電漿蝕刻操作時間與一氣體分配板和一聚焦環之一頂部表面之間之一距離之間之一關係的一圖式。替代地,提升銷202之一頂部表面遞增地及/或根據預設排程(其可為或可不為週期性地/恆定地)移位。藉此,聚焦環101之頂部表面與GDP 3之底部表面之間之距離S可被控制為小於一臨限值S_max,其中當距離S變得大於臨限值S_max時,可能誘發晶圓9之一周邊區域處之晶圓邊緣蝕刻輪廓傾斜之現象。在一些實施例中,在距離S達到臨限值S_max之前/時,提升銷202之頂部表面被提升達一距離(同時距離S減小)。因此,聚焦環101之底部表面與邊緣環111之頂部表面111s之間之間隙高度遞增地及/或根據預設排程(其可為或可不為週期性地/恆定地)及/或藉由控制器5之計時器獲取之一經計數時段增加。替代地,基於由一校準器8提供之一回饋來調整致動器200,其中校準器8基於聚焦環101之頂部表面與GDP 3之一底部表面之間之距離S、或替代地聚焦環101之一厚度T來提供回饋,如先前論述。
參考圖6A、圖6B及圖7C,圖7C係圖解說明根據本揭露之一些實施例之一聚焦環之一厚度與一氣體分配板和一聚焦環之一頂部表面之間之一距離之間之一關係的一圖式。如先前在圖1及圖3A中論述,聚焦環101之一部分可能歸因於電漿離子之轟擊而遭受材料損失,藉此聚焦環101之一厚度T可在電漿蝕刻操作期間減小。在一些實施例中,供應至致動器200之電壓與聚焦環101之一厚度T負相關。明確言之,為補償聚焦環101之材料損失(例如,聚焦環101之一厚度T從T_ini朝向T_tre減小,如圖7C中展示),聚焦環101之底部表面與邊緣環111之頂部表面111s之間之間隙高度H增加(例如,聚焦環101之一間隙H從H_ini朝向H_tre增加,如圖7C中展示),藉此聚焦環101之頂部表面與GDP 3之一底部表面之間之距離S可能實質上和GDP 3與晶圓9之頂部表面之間之距離S0相同,或距離S與距離S0之間之差可能小於一臨限值。然而,應注意,當聚焦環101變得過薄時,可能誘發粒子問題或可靠性問題。因此,當聚焦環101之一厚度T達到(或將達到)臨限值T_tre時,電漿蝕刻操作被推遲且聚焦環101被更換,如隨後將在圖8A至圖8C中論述。
先前在圖1至圖7C中論述之(若干)方法及(若干)電漿操作系統1可增加聚焦環101之壽命,此係因為一相對較薄聚焦環101仍可藉由調整其位置而用於電漿操作中;且圖8A至圖8C中論述之以下(若干)方法提供對更換聚焦環101之時序之經改良控制。
參考圖8A,圖8A展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。用於製造一半導體結構之方法1100包含:在一卡盤上提供一晶圓(操作1101,其可參考圖1或圖6A);對晶圓之一表面執行電漿蝕刻(操作1108,其可參考圖6A);及根據預設時間排程來更換聚焦環(操作1113)。操作1108可包含藉由致動器將一氣體分配板(GDP)與聚焦環之一頂部表面之間之一距離控制為小於一臨限值(子操作1111,其可參考圖6A至圖6B及圖7A或圖7A')。
參考圖8B,圖8B展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。用於製造一半導體結構之方法3100包含:獲取一預設時間排程(操作3101,其可參考圖6A);將一聚焦環放置於一致動器上方(操作3104,其可參考圖1或圖6A);在一卡盤上提供一晶圓(操作3107,其可參考圖1或圖6A);對晶圓之一表面執行電漿蝕刻(操作3109,其可參考圖6A至圖6B);及根據預設時間排程來更換聚焦環(操作3113)。操作3109可包含在電漿蝕刻期間根據預設時間排程來增加聚焦環之一底部表面與邊緣環之一頂部表面之間之一間隙高度(子操作3111,其可參考圖6A至圖6B)。
參考圖8C,圖8C展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。用於製造一半導體結構之方法5100包含:將一聚焦環放置於一致動器上方(操作5101,其可參考圖1或圖6A);在一卡盤上提供一晶圓(操作5103,其可參考圖1或圖6A);對晶圓之一表面執行電漿蝕刻(操作5105,其可參考圖6A至圖6B);及根據聚焦環之厚度來更換聚焦環(操作5115)。操作5105可包含獲取聚焦環之一厚度(子操作5107,其可參考圖1及圖6A至圖6B)及在電漿蝕刻期間根據聚焦環之厚度來調整聚焦環之一底部表面與邊緣環之一頂部表面之間之一間隙高度(子操作5111,其可參考圖6A至圖6B及圖7C)。
如先前在圖7C中論述,當聚焦環101之一厚度T變得過薄時,可能發生粒子問題或可靠性問題,因此在聚焦環101變得過薄之前可更換聚焦環101。在一些實施例中,更換一新聚焦環101之時序可為時間相依的,其中可由預設排程或由藉由控制器5之計時器計數之總操作時間決定時序。舉例而言,在自從上次聚焦環101被更換以來特定數目批晶圓9已經歷電漿操作(諸如電漿蝕刻操作)之後,更換聚焦環101。舉另一實例,在自從電漿蝕刻操作開始以來的特定小時數或天數之電漿操作(諸如電漿蝕刻操作)之後,更換聚焦環101。替代地,基於由校準器8提供之回饋,聚焦環101之時序可取決於聚焦環101之厚度T。明確言之,當聚焦環101之厚度T達到(或將達到)臨限值Ttre時,電漿蝕刻操作被推遲且聚焦環101被更換。在一些實施例中,在更換聚焦環101之前,供應至致動器200之電壓減小(舉例而言,減小至0 V)。
本揭露提供一種電漿操作裝置及一種用於製造一半導體結構之方法。明確言之,聚焦環101之一部分可能歸因於電漿離子之轟擊而遭受材料損失,其中聚焦環101之一厚度T可在電漿蝕刻操作(或利用電漿之其他操作)期間減小。為補償聚焦環101之材料損失,聚焦環101之底部表面與邊緣環111之頂部表面111s之間之間隙高度增加,藉此聚焦環101之頂部表面與GDP 3之一底部表面之間之距離S可能實質上和GDP 3與晶圓9之頂部表面之間之距離S0相同,或距離S與距離S0之間之差可能小於一臨限值。與在電漿操作期間未調整聚焦環101之位置之一比較實施例相比,藉此可減輕晶圓邊緣蝕刻輪廓傾斜之現象,及/或可延長聚焦環101之壽命,此係因為補償厚度T之損失,因此一較薄聚焦環101仍可用於電漿操作中。另外,如圖8A至圖8C中論述,可以經改良準確度控制更換聚焦環101之時序。可減輕更換/監測聚焦環101時所消耗勞力及時間的負擔。
此外,藉由使用一致動器200,可藉由調整供應至其之電壓而控制聚焦環101之位置。明確言之,藉由利用一壓電轉換器作為致動器200,可促成控制聚焦環101之位置之準確度及/或效率。
本揭露之一些實施例提供一種用於製造一半導體結構之裝置,該裝置包含:一卡盤;一邊緣環,其圍繞該卡盤,其中該邊緣環包括一腔體;一聚焦環,其鄰近該卡盤之一邊緣且在該邊緣環上方;及一第一致動器,其在該邊緣環之該腔體中且與該聚焦環接合。
本揭露之一些實施例提供一種用於製造一半導體結構之裝置,該裝置包含:一卡盤;一邊緣環,其圍繞該卡盤;一聚焦環,其鄰近該卡盤之一邊緣且在該邊緣環上方;及一壓電轉換器組件,其與該聚焦環接合。
本揭露之一些實施例提供一種用於製造一半導體結構之方法,該方法包含:在一卡盤上提供一晶圓,其中該晶圓被一聚焦環圍繞,該聚焦環由一致動器支撐且在一邊緣環上方;對該晶圓之一表面執行電漿蝕刻;及藉由該致動器將一氣體分配板(GDP)與該聚焦環之一頂部表面之間之一距離控制為小於一臨限值。
前述內容概述數項實施例之特徵,使得熟習此項技術者可更佳地理解本揭露之態樣。熟習此項技術者應瞭解,其等可容易地使用本揭露作為用於設計或修改其他操作及結構之一基礎以實行本文中介紹之實施例之相同目的及/或達成相同優點。熟習此項技術者亦應瞭解,此等等效構造不背離本揭露之精神及範疇,且其等可在不背離本揭露之精神及範疇之情況下在本文中作出各種改變、置換及更改。
此外,本申請案之範疇不意欲限於說明書中描述之製程、機器、製品、物質組合物、構件、方法及步驟之特定實施例。如一般技術者將容易從本揭露瞭解,可根據本揭露利用當前存在或後來開發之執行與本文中描述之對應實施例實質上相同之功能或達成實質上相同結果的製程、機器、製品、物質組合物、構件、方法或步驟。因此,隨附發明申請專利範圍意欲在其等範疇內包含此等製程、機器、製品、物質組合物、構件、方法或步驟。
1:電漿操作系統 1':腔室 2:氣體供應器 3:氣體分配板(GDP) 5:控制器 6:電力供應器 7:排氣管 8:校準器 9:晶圓 100:卡盤 100s:頂部表面 101:聚焦環 111:邊緣環 111s:頂部表面 112:聚焦環石英部分 113:絕緣體環部分 114:罩蓋環石英部分 200:致動器 200A:第一致動器 200B:第二致動器 200C:第三致動器 201:壓電層 202:提升銷 210:提升銷帽 220:螺釘 229:螺帽 252:內環 272:外環 290:導線 309:晶圓支撐件 1000:方法 1001:操作 1008:操作 1011:子操作 1100:方法 1101:操作 1108:操作 1111:子操作 1113:操作 2000:方法 2004:操作 2007:操作 2013:操作 2018:子操作 3000:方法 3001:操作 3004:操作 3007:操作 3009:操作 3011:子操作 3100:方法 3101:操作 3104:操作 3107:操作 3109:操作 3111:子操作 3113:子操作 4000:方法 4001:操作 4003:操作 4005:操作 4007:子操作 4011:子操作 5000:方法 5001:操作 5003:操作 5005:操作 5007:子操作 5011:子操作 5100:方法 5101:操作 5103:操作 5105:操作 5107:子操作 5111:子操作 5115:操作 C:腔體 CPZ:腔室 D1:最小尺寸 D2:距離 D3:尺寸 D4:距離 GS1:第一間隙分離 GS2:第二間隙分離 H:間隙高度 H1:初始間隙高度 H2:高度 S:距離 S0:距離 S1:距離 t1:初始厚度 t2:厚度 T:厚度 θ1:第一平面角 θ2:第二平面角
當結合附圖閱讀時,從以下詳細描述最佳理解本揭露之態樣。應注意,根據產業中之標準實踐,各種構件未按比例繪製。事實上,為了清楚論述可任意增大或減小各種構件之尺寸。
圖1係圖解說明根據本揭露之一些實施例之一電漿操作裝置之一示意圖。
圖2係圖解說明根據本揭露之一些實施例之一晶圓支撐件之一俯視圖的一示意圖。
圖3A係圖解說明根據本揭露之一些實施例之一晶圓支撐件之一部分之一放大剖面圖的一示意圖。
圖3B係圖解說明根據本揭露之一些實施例之一晶圓支撐件之一部分之一分解圖的一示意圖。
圖4A係圖解說明根據本揭露之一些實施例之一致動器之一俯視圖的一示意圖。
圖4B係圖解說明根據本揭露之一些實施例之一致動器之一剖面圖的一示意圖。
圖4C係圖解說明根據本揭露之一些實施例之崩潰電壓與腔室氣壓乘以一卡盤與一致動器之間之一距離之一乘積之間之一關係的一圖式。
圖5A展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。
圖5B展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。
圖5C展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。
圖5D展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。
圖5E展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。
圖6A係圖解說明根據本揭露之一些實施例之一晶圓支撐件之一部分及一氣體分配板之一部分之一放大剖面圖的一示意圖。
圖6B係圖解說明根據本揭露之一些實施例之一晶圓支撐件之一部分及一氣體分配板之一部分之一放大剖面圖的一示意圖。
圖7A係圖解說明根據本揭露之一些實施例之一總電漿蝕刻操作時間與一邊緣環之一頂部表面和一聚焦環之一底部表面之間之一距離之間之一關係的一圖式。
圖7A'係圖解說明根據本揭露之一些實施例之一總電漿蝕刻操作時間與一邊緣環之一頂部表面和一聚焦環之一底部表面之間之一距離之間之一關係的一圖式。
圖7B係圖解說明根據本揭露之一些實施例之一總電漿蝕刻操作時間與一氣體分配板和一聚焦環之一頂部表面之間之一距離之間之一關係的一圖式。
圖7B'係圖解說明根據本揭露之一些實施例之一總電漿蝕刻操作時間與一氣體分配板和一聚焦環之一頂部表面之間之一距離之間之一關係的一圖式。
圖7C係圖解說明根據本揭露之一些實施例之一聚焦環之一厚度與一氣體分配板和一聚焦環之一頂部表面之間之一距離之間之一關係的一圖式。
圖8A展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。
圖8B展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。
圖8C展示表示根據本揭露之一些實施例之用於製造一半導體結構之一方法的一流程圖。
1:電漿操作系統
1':腔室
2:氣體供應器
3:氣體分配板(GDP)
5:控制器
6:電力供應器
7:排氣管
8:校準器
9:晶圓
100:卡盤
100s:頂部表面
101:聚焦環
111:邊緣環
112:聚焦環石英部分
113:絕緣體環部分
114:罩蓋環石英部分
200:致動器
309:晶圓支撐件
S:距離
S0:距離

Claims (1)

  1. 一種用於製造一半導體結構之裝置,其包括: 一卡盤; 一邊緣環,其圍繞該卡盤,其中該邊緣環包括一腔體; 一聚焦環,其鄰近該卡盤之一邊緣且在該邊緣環上方;及 一第一致動器,其在該邊緣環之該腔體中且與該聚焦環接合。
TW109108811A 2019-09-25 2020-03-17 製造半導體結構的裝置與製造半導體結構的方法 TW202114049A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/583,066 2019-09-25
US16/583,066 US11443923B2 (en) 2019-09-25 2019-09-25 Apparatus for fabricating a semiconductor structure and method of fabricating a semiconductor structure

Publications (1)

Publication Number Publication Date
TW202114049A true TW202114049A (zh) 2021-04-01

Family

ID=74881161

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109108811A TW202114049A (zh) 2019-09-25 2020-03-17 製造半導體結構的裝置與製造半導體結構的方法

Country Status (3)

Country Link
US (2) US11443923B2 (zh)
CN (1) CN112563108A (zh)
TW (1) TW202114049A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024005850A1 (en) * 2022-07-01 2024-01-04 Lam Research Corporation Moveable edge rings for plasma processing systems
US20240021417A1 (en) * 2022-07-15 2024-01-18 Samsung Electronics Co., Ltd. Focus ring, substrate processing apparatus including the same, and semiconductor fabrication method using the same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
JP3388228B2 (ja) * 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US11605546B2 (en) * 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) * 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10985078B2 (en) * 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
CN108369922B (zh) * 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US11404249B2 (en) * 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus

Also Published As

Publication number Publication date
CN112563108A (zh) 2021-03-26
US11443923B2 (en) 2022-09-13
US20220359169A1 (en) 2022-11-10
US20210090935A1 (en) 2021-03-25

Similar Documents

Publication Publication Date Title
KR102069412B1 (ko) 전기적 측정에 의한 플라즈마 불안정성의 검출을 위한 시스템들 및 방법들
US10103011B2 (en) Plasma processing method and plasma processing apparatus
US8426317B2 (en) Plasma processing apparatus and plasma processing method
US20230013805A1 (en) Plasma processing apparatus, and method and program for controlling elevation of focus ring
JP4884047B2 (ja) プラズマ処理方法
JP2019186400A (ja) プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
US20220359169A1 (en) Apparatus for fabricating a semiconductor structure and method of fabricating a semiconductor structure
JP7185725B2 (ja) ウェハエッジリングの持ち上げに関する解決
US20070224709A1 (en) Plasma processing method and apparatus, control program and storage medium
TWI581301B (zh) 電漿處理裝置、電漿處理方法及記憶媒體
US10170284B2 (en) Plasma processing method and plasma processing apparatus
JP2008103403A (ja) 基板載置台及びプラズマ処理装置
JP2020077653A (ja) 載置台、エッジリングの位置決め方法及び基板処理装置
US20200234929A1 (en) Plasma processing apparatus and method for measuring misalignment of ring member
JP2018032721A (ja) プラズマ処理装置のメンテナンス方法
US10923333B2 (en) Substrate processing apparatus and substrate processing control method
US20200144090A1 (en) Placing table and substrate processing apparatus
JP2002190466A (ja) プラズマエッチング装置および半導体装置の製造方法
TW202036711A (zh) 用於將對基板體電阻率變動響應之沉積或蝕刻速率變化降低的射頻功率補償
US20210249231A1 (en) Plasma processing apparatus and plasma processing method
JP3225695U (ja) ウェハエッジプラズマシース調整機能を備える半導体プラズマ処理装置
US20240038601A1 (en) Methods and mechanisms for adjusting chucking voltage during substrate manufacturing
US20200035465A1 (en) Substrate processing apparatus and plasma sheath height control method
JP2023027754A (ja) プラズマ処理装置及びエッチング方法