TW202113458A - 極紫外光微影光罩與利用極紫外光微影圖案化半導體晶圓的方法 - Google Patents
極紫外光微影光罩與利用極紫外光微影圖案化半導體晶圓的方法 Download PDFInfo
- Publication number
- TW202113458A TW202113458A TW109125624A TW109125624A TW202113458A TW 202113458 A TW202113458 A TW 202113458A TW 109125624 A TW109125624 A TW 109125624A TW 109125624 A TW109125624 A TW 109125624A TW 202113458 A TW202113458 A TW 202113458A
- Authority
- TW
- Taiwan
- Prior art keywords
- width
- extreme ultraviolet
- trench
- layer
- photomask
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 125
- 238000001900 extreme ultraviolet lithography Methods 0.000 title claims abstract description 107
- 239000004065 semiconductor Substances 0.000 title claims abstract description 20
- 238000000059 patterning Methods 0.000 title claims abstract description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims abstract description 190
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 94
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 87
- 239000001301 oxygen Substances 0.000 claims abstract description 87
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 87
- 239000000758 substrate Substances 0.000 claims abstract description 54
- 239000000463 material Substances 0.000 claims abstract description 23
- 238000010521 absorption reaction Methods 0.000 claims description 63
- 238000000206 photolithography Methods 0.000 claims description 35
- 235000012431 wafers Nutrition 0.000 claims description 34
- 238000011282 treatment Methods 0.000 claims description 33
- 229910044991 metal oxide Inorganic materials 0.000 claims description 30
- 150000004706 metal oxides Chemical class 0.000 claims description 30
- 238000001459 lithography Methods 0.000 claims description 25
- 229910052751 metal Inorganic materials 0.000 claims description 20
- 239000002184 metal Substances 0.000 claims description 20
- 238000005530 etching Methods 0.000 claims description 12
- 238000004140 cleaning Methods 0.000 claims description 10
- 150000004767 nitrides Chemical class 0.000 claims description 10
- 238000012545 processing Methods 0.000 claims description 10
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical group [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 claims description 7
- 229910052715 tantalum Inorganic materials 0.000 claims description 7
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 7
- 239000002245 particle Substances 0.000 claims description 5
- 238000009832 plasma treatment Methods 0.000 claims description 5
- 229910001936 tantalum oxide Inorganic materials 0.000 claims description 5
- 239000006096 absorbing agent Substances 0.000 abstract description 16
- 239000010410 layer Substances 0.000 description 200
- 229920002120 photoresistant polymer Polymers 0.000 description 48
- 238000004519 manufacturing process Methods 0.000 description 22
- XTDAIYZKROTZLD-UHFFFAOYSA-N boranylidynetantalum Chemical compound [Ta]#B XTDAIYZKROTZLD-UHFFFAOYSA-N 0.000 description 17
- 230000002829 reductive effect Effects 0.000 description 16
- 230000000670 limiting effect Effects 0.000 description 14
- 229910052707 ruthenium Inorganic materials 0.000 description 13
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 10
- 238000010586 diagram Methods 0.000 description 10
- 229910052582 BN Inorganic materials 0.000 description 9
- 238000007254 oxidation reaction Methods 0.000 description 9
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 8
- 229910052750 molybdenum Inorganic materials 0.000 description 8
- 239000011733 molybdenum Substances 0.000 description 8
- 230000003647 oxidation Effects 0.000 description 7
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 6
- 230000007423 decrease Effects 0.000 description 6
- 239000011651 chromium Substances 0.000 description 5
- 125000004433 nitrogen atom Chemical group N* 0.000 description 5
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 229910052804 chromium Inorganic materials 0.000 description 4
- 238000010894 electron beam technology Methods 0.000 description 4
- 238000010926 purge Methods 0.000 description 4
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 238000004528 spin coating Methods 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 206010034972 Photosensitivity reaction Diseases 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- SLYSCVGKSGZCPI-UHFFFAOYSA-N [B]=O.[Ta] Chemical compound [B]=O.[Ta] SLYSCVGKSGZCPI-UHFFFAOYSA-N 0.000 description 3
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 3
- CXOWYMLTGOFURZ-UHFFFAOYSA-N azanylidynechromium Chemical compound [Cr]#N CXOWYMLTGOFURZ-UHFFFAOYSA-N 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000011161 development Methods 0.000 description 3
- 230000018109 developmental process Effects 0.000 description 3
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- JMOHEPRYPIIZQU-UHFFFAOYSA-N oxygen(2-);tantalum(2+) Chemical compound [O-2].[Ta+2] JMOHEPRYPIIZQU-UHFFFAOYSA-N 0.000 description 3
- 229910052763 palladium Inorganic materials 0.000 description 3
- 230000036211 photosensitivity Effects 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- -1 ruthenium nitride Chemical class 0.000 description 3
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- WGLPBDUCMAPZCE-UHFFFAOYSA-N Trioxochromium Chemical compound O=[Cr](=O)=O WGLPBDUCMAPZCE-UHFFFAOYSA-N 0.000 description 2
- 238000005411 Van der Waals force Methods 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 230000008033 biological extinction Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 229910000423 chromium oxide Inorganic materials 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 239000005350 fused silica glass Substances 0.000 description 2
- 230000005661 hydrophobic surface Effects 0.000 description 2
- 238000005286 illumination Methods 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 239000003960 organic solvent Substances 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- FMLYSTGQBVZCGN-UHFFFAOYSA-N oxosilicon(2+) oxygen(2-) titanium(4+) Chemical compound [O-2].[Ti+4].[Si+2]=O.[O-2].[O-2] FMLYSTGQBVZCGN-UHFFFAOYSA-N 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 238000002310 reflectometry Methods 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- 229910018182 Al—Cu Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- FVMWSPVGWLGGTC-UHFFFAOYSA-N [B+3].[O-2].[Ta+5].[O-2].[O-2].[O-2] Chemical compound [B+3].[O-2].[Ta+5].[O-2].[O-2].[O-2] FVMWSPVGWLGGTC-UHFFFAOYSA-N 0.000 description 1
- KHJOEHPMLOOZNO-UHFFFAOYSA-N [B]=O.[Ru] Chemical compound [B]=O.[Ru] KHJOEHPMLOOZNO-UHFFFAOYSA-N 0.000 description 1
- FVECDQBVLUROKG-UHFFFAOYSA-N [Ru+3].[O-2].[Nb+5].[O-2].[O-2].[O-2] Chemical compound [Ru+3].[O-2].[Nb+5].[O-2].[O-2].[O-2] FVECDQBVLUROKG-UHFFFAOYSA-N 0.000 description 1
- WPPDFTBPZNZZRP-UHFFFAOYSA-N aluminum copper Chemical compound [Al].[Cu] WPPDFTBPZNZZRP-UHFFFAOYSA-N 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 229910052790 beryllium Inorganic materials 0.000 description 1
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000007737 ion beam deposition Methods 0.000 description 1
- 238000001755 magnetron sputter deposition Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- GALOTNBSUVEISR-UHFFFAOYSA-N molybdenum;silicon Chemical compound [Mo]#[Si] GALOTNBSUVEISR-UHFFFAOYSA-N 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 238000009304 pastoral farming Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 150000003304 ruthenium compounds Chemical class 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000000233 ultraviolet lithography Methods 0.000 description 1
- 230000003313 weakening effect Effects 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/62—Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/22—Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
- G03F1/24—Reflection masks; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/38—Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
- G03F1/48—Protective coatings
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/54—Absorbers, e.g. of opaque materials
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/62—Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
- G03F1/64—Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof characterised by the frames, e.g. structure or material, including bonding means therefor
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
- G03F1/70—Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
- G03F1/80—Etching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70691—Handling of masks or workpieces
- G03F7/70733—Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
Abstract
本揭露描述利用極紫外光微影圖案化半導體晶圓的方法。此方法包括接收極紫外光微影光罩,其包括具有低溫膨脹材料的基材,位在基材上的反射多層膜,位在反射多層膜上的覆蓋層及位於覆蓋層上的吸收層。此方法還包括圖案化吸收層,以在極紫外光微影光罩上形成溝渠,其中溝渠具有在目標寬度以上的第一寬度。此方法還包括使用氧電漿處理極紫外光微影光罩,以將溝渠減少到第二寬度,第二寬度小於目標寬度。此方法亦包括使用氮電漿處理極紫外光微影光罩,以保護覆蓋層,其中使用氮電漿處理極紫外光微影光罩擴大溝渠至在目標寬度的第三寬度。
Description
無
半導體積體電路(integrated circuit,IC)產業經歷快速的成長。在積體電路(IC)的發展過程中,當減少幾何尺寸(即可用生產製程所製造的最小元件(或線))時,通常增加功能密度(functional density,即每一晶片區中內連接裝置的數量)。這種縮小製程通常能帶來增加生產效率與降低相關成本的好處。這樣縮小亦增加處理與製造積體電路的複雜度,而為了讓這些進步得以實現,積體電路製造中須要進行相似的發展。
舉例而言,極紫外光(Extreme Ultraviolet,EUV)微影能用來支援較小裝置的臨界尺寸 (Critical Dimension,CD)需求。極紫外光微影採用使用極紫外光範圍內的輻射能量的掃描機,並具有介於1至100奈米的波長範圍。一些極紫外光掃描機提供4倍縮小的投影式印製(4X reduction projection printing),其類似於一些光學掃描機,除了極紫外光微影掃描機採用反射光學件而非繞射光學件,例如採用鏡子而非透鏡。在極紫外光微影中所使用的光罩(也稱為極紫外光之微影光罩或EUVL光罩)出現新的挑戰。例如,極紫外光微影光罩(EUVL mask)典型地包括在反射多層膜上的圖案化吸收層,其中圖案化吸收層提供用來曝光晶片的圖案。吸收層可顯示出由大量金屬原子所引起的高凡德華力,而此凡德華力會導致碎裂顆粒吸附於其表面。圖案化吸收層可具有僅2至3奈米的蝕刻偏差。而且,極紫外光微影光罩在較低的節點處具有狹窄的臨界尺寸規格,其增加做出超出規格極紫外光微影光罩的風險,而導致報廢。此外,極紫外光微影光罩可具有疏水性表面的特性,其會妨礙在清潔過程中對微粒(particle)的去除。因此,儘管現有的微影方法一般已足夠,但仍不能在所有方面令人滿意。
無
以下本揭露之一實施例提供多種不同的實施例或舉例說明來實現本揭露之一實施例的多個特徵。下文所述的元件與安排的具體例子是為了簡化本揭露之一實施例。當然,這些僅是舉例說明,而非意旨限制。此外,本揭露之一實施方式可在不同實施例中重複代表元件的數字及/或字母。這種重複是出於簡單與清楚的目的,而且其本身並不需要討論各種實施例及/或配置(configurations)之間的關係。另外,在以下描述中,在第二處理以前所進行的第一處理可包括在第一處理之後立即進行第二處理的實施例,也可包括在第一與第二處理之間進行附加處理的實施例。為了簡單與清楚的目的,可用不同比例來任意繪示各種不同的技術特徵。再者,在以下的描述中,第一特徵形成於第二特徵上方(over)或上(on)可包括形成第一與第二特徵直接接觸的實施例,而且也可包括形成於第一與第二特徵之間的附加特徵的實施例,以使第一與第二特徵可以不直接接觸。
另外,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的元素或特徵和其他元素或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含裝置在使用或操作時的不同方向。舉例而言,若圖式中的裝置被翻轉,則被描述為在其他元件或特徵「之下(below)」或「下方(beneath)」的元件會被定向成在其他元件或特徵「之上(above)」。因此,舉例用語「在…之下(below)」可以包括「在…之上(above)」與「在…之下(below)」兩個方位(orientation)。裝置可用其他方式定向(旋轉90度或在其他方向),而本文所用的空間相對性描述也可以如此解讀。
此次申請與以下案件有關:案卷號(Docket)2017-3198/24061.3684US01,申請號15/956,189,其於4/18/2018申請,並受讓於共有受讓人(common assignee),其中上述案件的全部內容已被引用並合併於本案中。
本揭露之一實施例通常與半導體裝置的製造系統及方法有關,而且特別是有關於製造、使用與操作極紫外光微影(EUVL)光罩。極紫外光微影製程已被用來在積體電路中增加功能密度與減少幾何尺寸。極紫外光微影光罩為極紫外光微影製程中的重要單元。在製造極紫外光微影光罩的過程中,很難控制臨界尺寸(CD),加上因極紫外光微影光罩的疏水性表面,導致在清洗期間阻礙對微粒的去除。本揭露提出多種方法的實施例來處理上述問題。
圖1A繪示受益於本揭露之一個或多個實施例的最佳極紫外光微影的系統100。這系統100包括能發出照射光束104的一發光源102、聚光件106、位在一光罩台(mask stage)110上的一極紫外光微影光罩108、投射件112以及位在一基材承載台(substrate stage)114上的一基材116。其他配置與元件的包含或省略也是可行的。在本揭露之一實施例中,系統100可以是一步進器(stepper)或一掃描器(scanner)。系統100的元件會在下文作進一步的敘述。
發光源102提供照射光束104,其具有位於極紫外光範圍的波長,例如約1~100奈米。在一實施例中,照射光束104具有約1.35奈米的波長。聚光件106包括被多層膜覆蓋的收光器(collector)與多個掠入射鏡(grazing mirror)。聚光件106用於收集與整形(shape)照射光束104,並提供讓照射光束104入射於極紫外光微影光罩108的狹縫(slit)。
極紫外光微影光罩108,也稱為光罩(photomask)或瞄標(reticle),包括一個或多個目標積體電路裝置的圖案。極紫外光微影光罩108提供一圖案化架空影像(patterned aerial image)至照射光束104。在本實施例中,極紫外光微影光罩108為反射式光罩,其將配合圖1B與圖1C,在下文中作更進一步的詳細說明。具體而言,極紫外光微影光罩108可被製作成控制臨界尺寸及/或其表面性質。這能提高極紫外光微影的系統100轉移圖案的準確度以及增加極紫外光微影光罩108的重複利用性(reusability)。極紫外光微影光罩108可包括提升解析度的技術,例如相偏移光罩(Phase-Shifting Mask,PSM)及/或 光學接近矯正(Optical Proximity Correction,OPC)。光罩台110將極紫外光微影光罩108固定於其上,例如利用真空吸附來固定,而在極紫外光微影的系統100中,在對準(alignment)、聚焦(focus)、調平(leveling)以及曝光操作期間,光罩台110提供極紫外光微影光罩108的準確位置與移動。
投射件112包括一個或多個透鏡與多個反射鏡。透鏡可具有小於一的倍率,以縮小極紫外光微影光罩108的圖案化架空影像至基材116。
基材116包括帶有光阻層(或阻層,resist)的半導體晶圓,其中此光阻層對照射光束104具有光敏性。基材116被基材承載台114所固定,其中在極紫外光微影的系統100中的對準、聚焦、調平與曝光操作期間,基材承載台114提供基材116的準確位置與移動,以使極紫外光微影光罩108的圖案化架空影像以重複方式曝光到基材116(儘管其他微影方法可行)。
在基材116被照射光束104曝光之後,將基材116移入至顯影劑中,其中會根據基材116光阻層的區域是否被照射光束104曝光而移除上述光阻層的區域,從而將極紫外光微影光罩108的圖案轉移至基材116。在某些實施例中,顯影劑包含水性顯影劑,例如四甲基氢氧化铵(Tetramethylammonium Hydroxide,TMAH)。在其他實施例中,顯影劑可包括有機溶劑或有機溶劑的混合物,例如甲基戊基酮(Methyl A-Amyl ketone,MAK)或包含甲基戊基酮的混合物。採用顯影劑,例如利用旋轉塗佈,將顯影劑噴塗在已曝光過的阻層(resist film)上。微影製程也可包括曝光後烘烤(Post Exposure Bake,PEB)製程、顯影後烘烤(Post-Develop Bake,PDB)製程或前述兩種製程的結合。經顯影或圖案化後的光阻層用於進一步處理基材116,以形成目標積體電路裝置。例如,以圖案化的光阻層作為蝕刻遮罩,可以蝕刻基材116的一層或多層膜層。
請參閱圖1B與圖1C,其根據本揭露之一實施例繪示出極紫外光微影光罩108的局部剖面示意圖。極紫外光微影光罩108包括基材210、沉積在基材210上的反射多層膜(Multilayer,ML)220、沉積在反射多層膜220上的覆蓋層230、沉積在覆蓋層230上的吸收層250以及在基材210下方用於供靜電吸盤(electrostatic chucking)之用的導電層205。在一實施例中,極紫外光微影光罩108可更包括保護層(未繪示),其沉積在吸收層250上。極紫外光微影光罩108中的其他配置以及各種物件的包含或省略是可行的。
在一實施例中,導電層205包括氮化鉻(CrN)、氮氧化鉻(CrON),或是以上組合。在另一實施例中,導電層205包括硼化鉭,例如TaB。基材210包括低熱膨脹材料(Low Thermal Expansion Material,LTEM),其能將因劇烈的極紫外光照射而加熱光罩所導致的影像畸變(image distortion)降低至最小。在一實施例中,低熱膨脹材料(LTEM)包括氧化矽-氧化鈦合金(silicon oxide-titanium oxide alloy,TiO2
-SiO2
)。在多種實施例中,低熱膨脹材料可包括氧化矽-氧化鈦合金、熔矽石(fused silica)、熔凝石英(fused quartz)、氟化鈣(CaF2
)、碳化矽及/或其他適合的低熱膨脹材料。
反射多層膜220包括多個成對膜(film pairs),例如鉬矽成對膜(Mo/Si)(例如在每一個成對膜中,鉬膜層位於矽膜層的上方或下方)。或者,反射多層膜220可包括鉬鈹(Mo/Be)成對膜,或是折射率差異大且消光係數小的任何兩種材料或兩種材料的組合。反射多層膜220的每一層厚度取決於極紫外光照射光束104(請參閱圖1A)的波長與入射角。對於特定的入射角,可以調整反射多層膜220每一層的厚度,以使在反射多層膜220不同界面處能達到反射輻射能量的最大建設性干涉。成對膜的一般數量是20至80個,但是任何成對膜的數量都是可行的。在一個實施例中,反射多層膜220包括40個鉬/矽成對膜。每個鉬/矽成對膜具有約7奈米的厚度,例如鉬層約3奈米,而矽層約4奈米。在此條件下,能達到約70%的反射率。
覆蓋層230可被選擇具有不同於吸收層250的蝕刻特性,並在圖案化或修復吸收層250的過程中作為蝕刻終止層。在本實施例中,覆蓋層230包括釕(Ru)或釕化合物,例如硼化釕(RuB)、矽化釕(RuSi)、氮化釕(RuN)氧化釕(RuO2
)或氧化釕鈮(RuNbO)。吸收層250包括吸收投射在其上的極紫外光照射光束104的材料。吸收層250可包括選自氮化硼鉭(TaBN)、氧化鋁(AlO)、鉻(Cr)、氧化鉻(CrO)、氮化鉻(CrN)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、鋁銅合金(Al-Cu)、鎳(Ni)、鉿(Hf)、氧化鉿(HfO2
)、鈀、鉬(Mo),或是其他合適的高k(消光係數)材料的單層或多層材料。在某些實施例中,吸收層250包括氧化硼鉭(TaBO)層(例如,厚度介於2奈米至20奈米),其作為氮化硼鉭層(TaBN)上的抗反射層。
膜層205、220、230與250其中一層或多層可利用多種不同的方法來形成,而這些方法包括物理氣相沉積(Physical Vapor Deposition,PVD)製程(例如蒸鍍與直流磁控濺鍍)、電鍍製程(例如無電極電鍍或有電電鍍)、化學氣相沉積(Chemical Vapor Deposition,CVD)製程(例如常壓化學氣相沉積(Atmospheric Pressure CVD,APCVD)、低壓化學氣相沉積(Low Pressure CVD,LPCVD)、電漿增強化學氣相沉積(Plasma Enhanced CVD,PECVD)或高密度電漿化學氣相沉積(High Density Plasma CVD,HDP CVD))、離子束沉積、旋轉塗佈、有機金屬裂解法(Metal-Organic Decomposition,MOD)及/或其他方法。
請參閱圖1B,膜層250採用一道或多道微影製程(後續將詳細說明)來形成溝渠251。
請參閱圖1C,膜層220、230與250採用一道或多道微影製程(後續將詳細說明)來形成這些溝渠251與254。詳細而言,這些溝渠251位於電路圖案240內,而且這些溝渠254位於晶片邊界區域(die boundary area),其環繞電路圖案240。
圖2A與圖2B是根據本揭露多種實施例繪示製造極紫外光微影光罩,例如極紫外光微影光罩108或極紫外光微影光罩200,的方法300流程示意圖。方法300僅只是舉例說明,並非意圖將本揭露之一實施例限制為除申請專利範圍明確敘述以外的範圍。在方法300之前、期間與之後,可提供附加操作,而對於有關此方法的附加實施例,可替換、排除或移動所描述的某些操作。以下結合圖3A至圖3F來描述方法300,其中圖3A至圖3F是根據本揭露多種實施例繪示極紫外光微影光罩200在多種製造階段期間的剖面示意圖。
在操作302中,方法300(圖2A)接收極紫外光微影光罩200,如圖3A所示。請參閱圖3A,極紫外光微影光罩200包括基材210與形成於基材210表面上的多個不同膜層205、220、230與250。詳細而言,膜層205沉積在基材210的表面上,其中膜層205所沉積的基材210表面為膜層220、230與250所沉積的基材210表面的相對另一表面。參閱圖1B與圖1C來詳細說明基材210、膜層205、220、230與250的材料。具體而言,膜層205為導電層並可包括CrN或TaB,基材210為低熱膨脹材料基材,膜層220為反射多層膜,膜層230為覆蓋層且可包括釕或氮化釕,而膜層250為吸收層並可包括氮化硼鉭。
在操作304中,方法300(圖2A)圖案化吸收層250以在其上產生電路圖案。這包括多個各種製程,其包括在吸收層250上塗佈光阻層,曝光此光阻層,顯影此光阻層來形成光阻圖案,以光阻圖案作為遮罩蝕刻吸收層250,以及移除光阻圖案。操作304的詳細內容會在圖3B至圖3D作進一步說明。
請參閱圖3B,例如利用旋轉塗佈製程,光阻層260形成在吸收層250之上。在本實施例中,光阻層260對電子束具有光敏性。光阻層260可以是正型光阻或負型光阻,並可被塗佈至任何適當的厚度。
請參閱圖3C,光阻層260被圖案化的電子束曝光,且實質上被顯影而形成溝渠251。溝渠251具有第一寬度W1,其對應至第一臨界尺寸。被曝光的光阻層260經由顯影製程而可被顯影。在光阻層260已被顯影而形成光阻圖案之後,利用光阻圖案作為蝕刻遮罩,可蝕刻吸收層250,從而將溝渠251延伸至吸收層250中。
請參閱圖3D,光阻層260從極紫外光微影光罩200被移除,例如,採用光阻脫膜(resist stripping)。如圖3D所示,操作304導致吸收層250的頂面部250a被圖案化而形成溝渠251,其具有第一寬度W1與第一及第二側壁250b,其中第一及第二側壁250b各自具有第一厚度T1。第一厚度T1實際上具有零值並用於僅作為後續比較用的參考線。圖3D中的極紫外光微影光罩200對應至圖1B中的極紫外光微影光罩108。在一個或多個實施例中,如圖1C所示,溝渠251可以是電路圖案240的一部分,而電路圖案240對應至積體電路晶片中的一膜層。此膜層可包括主動區、閘極結構、通孔(vias)、金屬結構或其他合適的線路部分。
在操作306中,方法300(圖2A)可選擇性地圖案化吸收層250、覆蓋層230與反射多層膜220,以形成與晶片邊界區域相關的這些溝渠254。這包括多個各種製程,其包括在極紫外光微影光罩200之上塗佈光阻層,曝光此光阻層,顯影此光阻層來形成光阻圖案,以光阻圖案作為遮罩蝕刻各種膜層250、230與220,以及移除光阻圖案。操作306的詳細內容會在圖4E至圖4G作進一步說明。
在操作308中,極紫外光微影光罩200被移入至臨界尺寸量測工具,例如臨界尺寸-掃描電子顯微鏡(Critical Dimension-Scanning Electron Microscope,CD-SEM)儀器,以量測第一寬度W1。臨界尺寸-掃描電子顯微鏡只是量測儀器的一個非限制性例子,其可以用於測量極紫外光微影光罩200上的各種特徵的寬度。其他合適的量測儀器可以使用以代替臨界尺寸-掃描電子顯微鏡。在某些實施例中,第一寬度W1可大於100奈米。在其他實施例中,第一寬度W1可介於約50奈米至約100奈米。
在操作310中,極紫外光微影光罩200被移入至電漿蝕刻機。電漿蝕刻機不過是可用於蝕刻極紫外光微影光罩200的蝕刻工具的一個非限制性例子。其他合適的蝕刻工作可以使用以代替電漿蝕刻機。運作的幫浦從電漿刻蝕機排出氣體,以產生約2至約10毫托(mtorr)的真空壓力。在某些實施例中,上述真空壓力可低於2毫托。在其他實施例中,上述真空壓力可低於1毫托。
在操作312中,於電漿蝕刻機內,採用氧電漿280來處理極紫外光微影光罩200,以提升吸收層250上的氧化層成長以及降低溝渠251的臨界尺寸。在某些實施例中,在操作312以前,臨界尺寸的目標平均(Mean to Target,MtT)可約為1.0至1.4奈米。在某些實施例中,利用操作312,可將臨界尺寸降低約0.6奈米至約0.9奈米。在某些實施例中,利用約0.2至約0.3奈米的預補償距離,操作410對臨界尺寸的降低可超過計劃降低尺寸。
利用氧電漿280處理來降低臨界尺寸可以是全面性製程,其影響極紫外光微影光罩200上的所有圖案。在全面性製程中,氧電漿280處理不改變臨界尺寸的均勻性或近接趨勢(proximity trend)。在其他實施例中,氧電漿280處理可以是局部性製程,其中使用電漿束或電漿點(plasma spot)施加氧電漿280能對準極紫外光微影光罩200上的特定圖案。在局部性製程中,利用補償特定圖案,可使用氧電漿280處理來控制臨界尺寸的均勻性。不論是進行全面性或局部性製程,後續每次氧電漿280處理,形成後的圖案可被量測與分析,檢測變化,並更改製程以進行補償。操作312的詳細內容會在圖3E作進一步說明。
在點燃氧電漿以前,可使用約0W的源功率,約0W的偏壓功率,約20至100sccm(standard-state cubic centimeter per minute,單位時間標準毫升數)的載氣流率,約150至250sccm的氧氣流率,約0sccm的氮氣流率,以及約10至60秒的持續時間,施加於氧氣流量。
請參閱圖3E,氧電漿280會與吸收層250反應,以在頂面部250a上與第一及第二側壁250b上成長氧化層285。在某些實施例中,使用約600W至1000W的源功率,約0W的偏壓功率,約20至100sccm的載氣流率,約150至250sccm的氧氣流率,約0sccm的氮氣流率,以及約70至200秒的持續時間,施加於氧電漿。在某些實施例中,載氣可以是氦氣。在某些實施例中,吸收層250包括氮化硼鉭,而反應會形成氧化鉭(TaO)。氮化硼鉭只是吸收層250中所包括的金屬氮化物的一個非限制性例子。其他適合的金屬氮化物可以用來替換氮化硼鉭,包括但不限制氮化鉭與氮化鈦。氧化鉭只是吸收層250中所作為反應產物的金屬氧化物的一個非限制性例子。其他適合的金屬氧化物可以產生,包括但不限制氧化硼鉭。
由於在操作312中的氧電漿280處理,第一及第二側壁250b每一者的厚度從第一厚度T1增加到第二厚度T2,而溝渠251的寬度從第一寬度W1減少到第二寬度W2,其對應至第二張臨界尺寸。在某些實施例中,第二厚度T2可約0.3奈米至約0.45奈米。在一個非限制性例子中,第一寬度W1可約140奈米至160奈米,而第二寬度W2可約小於第一寬度W約0.6至0.9奈米。在某些實施例中,頂面部250a的高度可增加約距離T2。在其他實施例中,頂面部250a的高度可增加在約0奈米至約距離T2之間。
由此可知,作為操作312的結果,頂面部250a向上移動,第一及第二側壁250b彼此相向移動,吸收層250的橫向(或水平)尺寸在溝渠每一側上增加長度T2,而溝渠251的寬度減少兩倍的長度T2。
氧電漿280可與覆蓋層230暴露的部分反應。換句話說,氧電漿280可與覆蓋層230位在溝渠251內的部分反應。在某些實施例中,覆蓋層230包括釕,且反應會形成氧化釕。釕只是覆蓋層230中所包括的金屬的一個非限制性例子。其他適合的金屬材料可被採用以取代釕,並包括但不限制硼化釕、矽化釕與氮化釕。氧化釕只是覆蓋層230中所作為反應產物的金屬氧化物的一個非限制性例子。其他適合的金屬氧化物可以產生,包括但不限制氧化硼釕(RuBO)。在某些實施例中,覆蓋層230的氧化能造成傷害,例如弱化對反射多層膜220的保護、暴露反射多層膜220,及/或改變極紫外光微影光罩200的反射率。覆蓋層230被保護部分可被設置在吸收層250下方,以防止被保護部分與氧電漿反應以及防止金屬氧化物的形成。換句話說,覆蓋層230接觸於吸收層250的部分或設置在吸收層250下方的部分可以不與氧電漿280反應,並且可不包括金屬氧化物。因此,覆蓋層230可具有不均勻的組成,其中暴露的部分包括金屬氧化物而被保護的部分沒有金屬氧化物。在某些實施例中,與暴露部分相比,受保護部分可具有較少金屬氧化物。
在某些實施例中,吸收層250包括鉭、鈦、鉻、鈀、鉬或其他元素。吸收層250中的一些元素可經由氧電漿處理而被氧化。例如,吸收層250可包括鉭(Ta)、硼化鉭(TaB)或氮化硼鉭(TaBN),而吸收層250的這些材料能與氧電漿反應而形成氧化鉭(TaO)、五氧化二鉭(Ta2
O5
)或氧化硼鉭(TaBO)。一旦被氧化,吸收層250的橫向(或水平)尺寸會增加,而溝渠251的橫向尺寸卻減少。這能用來控制晶圓(例如基材116)上的電路圖案的臨界尺寸。為了控制氧化,方法300進行操作312以處理極紫外光微影光罩200的多個不同暴露表面。在某些實施例中,吸收層250包括由氧化反應所產生的濃度梯度(concentration gradient),其中頂面部250a及/或第一及第二側壁250b包括金屬氧化物的第一濃度,吸收層250的塊材部(bulk portion)包括金屬氧化物的第二濃度,其低於上述第一濃度,而吸收層250包括金屬氧化物的濃度梯度,其位於頂面部250a與塊材部之間。
在操作318中,於電漿蝕刻機內,採用氮電漿290來處理極紫外光微影光罩200,以保護覆蓋層230以及增加溝渠251的臨界尺寸。在某些實施例中,操作318能增加臨界尺寸約0.2奈米至約0.3奈米。在某些實施例中,利用操作312中約0.2奈米至約0.3奈米的預補償距離來降低臨界尺寸,以補償因操作318所增加的臨界尺寸。操作318的詳細內容會在圖3F作進一步說明。
請參閱圖3F,氮電漿290與形成在頂面部250a上的氧化層285、第一及第二側壁250b上以及覆蓋層230暴露的部分反應。在某些實施例中,使用約600W至約1000W的源功率,約0W的偏壓功率,約0sccm的載氣流率,約0sccm的氧氣流率,約150至250sccm的氮氣流率,以及約20至約240秒的持續時間,施加於氮電漿。在某些實施例中,吸收層250包括氧化鉭,而反應會形成氮化硼鉭。由於在操作318中的氮電漿290處理,第一及第二側壁250b每一者的厚度從第二厚度T2減少到第三厚度T3,而溝渠251的寬度從第二寬度W2增加到第三寬度W3,其對應至第三張臨界尺寸。在一個或多個實施例中,第三厚度T3大於第一厚度T1。在某些實施例中,第三厚度T3可約為0.2至0.3奈米。在一個非限制性例子中,第三寬度W3可約為140至160奈米。在某些實施例中,頂面部250a的高度可減少約等於第二厚度T2與第三厚度T3之間的厚度差。在其他實施例中,頂面部250a的高度可被減少約在0至約等於第二厚度T2與第三厚度T3之間的厚度差。在某些實施例中,從操作312之前的約1.0至1.4奈米到操作318之後的約0.3至0.9奈米,臨界尺寸的目標平均可低於約0.5至0.7奈米。
由此可知,作為操作318的結果,頂面部250a向下移動,第一及第二側壁250b彼此遠離,吸收層250的橫向(或水平)尺寸在溝渠251每一側上減少約等於第二厚度T2與第三厚度T3之間的厚度差,而溝渠251的寬度增加第二厚度T2與第三厚度T3之間厚度差的兩倍。在某些實施例中,氮電漿使氮原子嵌入於覆蓋層230的晶粒邊界(grain boundary)中,從而保護覆蓋層230免受操作312中的氧化所導致的損傷。為了賦予對覆蓋層230的保護,相較於為了清潔及/或蝕刻吸收層250的處理,氮電漿290處理可包括較長的持續時間及/或較高的源功率。
方法300可包括如圖2B所示的額外可選擇的步驟。例如,在操作312之後,在操作314中,極紫外光微影光罩200可移回至臨界尺寸-掃描電子顯微鏡(CD-SEM),而第二寬度W2可被量測,且非常類似於在操作308中對第一寬度W1的量測。
在操作316中,比較第二寬度W2與目標寬度,以判斷第二寬度W2是否位於目標寬度。目標寬度可對應至晶圓上的電路圖案的目標臨界尺寸。若第二寬度W2位於目標寬度,然後方法300跳過操作318、320與322,並進行操作324,其中極紫外光微影光罩200轉移至後續處理步驟。若第二寬度W2高於目標寬度,然後方法300回到操作312。操作312的詳細內容會在圖3E作進一步說明,並且搭配圖2A作詳細說明。若第二寬度W2低於目標寬度,然後方法300進行操作318。操作318的詳細內容會在圖3F作進一步說明,並且搭配圖2A作詳細說明。
在操作320中,在操作318使用氮電漿290處理極紫外光微影光罩200之後,極紫外光微影光罩200可被移回至臨界尺寸-掃描電子顯微鏡,而第三寬度W3可被量測,且非常類似於在操作314中對第二寬度W2的量測。
在操作322中,比較第三寬度W3與目標寬度以判斷第三寬度W3是否位於目標寬度。若第三寬度W3位於目標寬度,然後方法300進行操作324,其中極紫外光微影光罩200轉移至後續處理步驟。若第三寬度W3高於目標寬度,然後方法300回到操作312。操作312的詳細內容會在圖3E作進一步說明,並且搭配圖2A作詳細說明。若第三寬度W3低於目標寬度,然後方法300回到操作318。操作318的詳細內容會在圖3F作進一步說明,並且搭配圖2A作詳細說明。方法300可持續進行所需數量的操作,直到達到操作324為止。
理解的是,不論溝渠251的寬度是否位於目標寬度,每次判斷皆會考量到設計公差。在某些實施例中,即使溝渠251的寬度變化高達約0.1奈米,也可說溝渠251的寬度位於目標寬度。在其他實施例中,即使寬度的變化高達約0.5奈米或高達約1奈米,溝渠251的寬度仍可滿足位於目標寬度的條件。
已參考圖3A至圖3F作詳細說明的方法300可相似應用於極紫外光微影光罩200的其他實施例,例如圖4A至圖4I所示。應用於各種不同實施例的方法300的後續描述可僅突顯在有別於圖3A至圖3F的方法300的方面。
請參閱圖4A至圖4D,在操作302中,方法300(圖2A)接收極紫外光微影光罩200,如圖4A所示。在操作304中,方法300(圖2A)圖案化吸收層250以在其上產生電路圖案。操作304的詳細內容會在圖4B至圖4D作進一步說明。與圖3B至圖3D相反,在一個或多個實施例中,操作304形成多個溝渠251,如圖4D所示。除此之外,操作304,如參考圖3B至圖3D所敘述,同樣適用於圖4B至圖4D。
在操作306中,方法300(圖2A)可選擇性地圖案化吸收層250、覆蓋層230與反射多層膜220,以形成與晶片邊界區域相關的這些溝渠254。這包括多個各種製程,其包括在極紫外光微影光罩200之上塗佈光阻層,曝光此光阻層,顯影此光阻層來形成光阻圖案,以光阻圖案作為遮罩蝕刻各種膜層250、230與220,以及移除光阻圖案。操作306的詳細內容會在圖4E至圖4G作進一步說明。
請參閱圖4E,另一光阻層270形成於極紫外光微影光罩200(例如,由旋轉塗佈所形成)之上,並且被圖案化以於光阻層270形成溝渠254。在本實施例中,光阻層270對電子束具有光敏性。光阻層270可以是正型光阻或負型光阻。圖案化光阻層270包括以圖案化的電子束曝光光阻層270以及在合適的顯影劑中顯影光阻層270。在本實施例中,這些溝渠254與晶圓在積體電路晶片之間的區域有關,而此區域在本揭露中被稱為晶片邊界區域。換句話說,溝渠254並不對應至電路圖案,而是圍繞電路圖案。
請參閱圖4F,在這例子中,以圖案化的光阻層270作為遮罩蝕刻吸收層250、覆蓋層230以及反射多層膜220,從而將這些溝渠254延伸至極紫外光微影光罩200。這些溝渠254暴露基材210的頂面。在某些實施例中,這些溝渠254有助於在晶圓成像期間,降低或消除場對場干擾(field-to-field interference)。
請參閱圖4G,圖案化的光阻層270被移除,例如使用光阻脫膜。這會留下圖案化的膜層220、230與250在基材210之上。具體而言,圖案化的膜層220、230與250提供這些溝渠251與254。這些溝渠251與圖案化的吸收層250對應至電路圖案240。這些溝渠254對應至晶片邊界區域。藉由這些溝渠251與254,膜層220、230與250的各個不同表面被暴露出來。詳細而言,吸收層250的各個表面被暴露。在經由操作304或306的圖案化之後,極紫外光微影光罩200提供極紫外光微影光罩,例如極紫外光微影光罩108或極紫外光微影光罩200。極紫外光微影光罩包括基材210與圖案化的膜層220、230及/或250。
請參閱圖2A,根據圖4G的圖案化的極紫外光微影光罩200具有電路圖案溝渠251與晶片邊界溝渠254。在操作304與306之後,溝渠251具有第一寬度W1與第一厚度T1,如其他實施例。然而,晶片邊界溝渠254具有第一寬度W4與第一厚度T4。
在操作308中,除了量測第一寬度W1,也可量測第一寬度W4。在操作312中,使用氧電漿對極紫外光微影光罩處理會增加這些溝渠254的第一及第二側壁250b的厚度從第一厚度T4增加至第二厚度T5,並減少溝渠254的寬度從第一寬度W4減少至第二寬度W5,如圖4H所示。在操作318中,使用氮電漿對極紫外光微影光罩處理會降低這些溝渠254的第一及第二側壁250b的厚度從第二厚度T5降低至第三厚度T6,並增加溝渠254的寬度從第二寬度W5增加至第三寬度W6,如圖4I所示。
請參閱圖2B,在操作314中,除了量測第二寬度W2,第二寬度W5也可為了一個或多個溝渠254而量測。在操作316中,可比較一個或多個溝渠254的第二寬度W5與一個或多個溝渠254的目標寬度,以判斷第二寬度W5是否位於目標寬度。在操作320中,第三寬度W6可為了一個或多個溝渠254而量測。在操作322中,一個或多個溝渠254的第三寬度W6可與一個或多個溝渠254的目標寬度比較,以判斷第三寬度W6是否位於目標寬度。
圖5是根據一實施例繪示製造極紫外光微影光罩,例如極紫外光微影光罩200,方法400的流程示意圖。方法400僅只是舉例說明,並非意圖將本揭露之一實施例限制為除申請專利範圍明確敘述以外的範圍。在方法400之前、期間與之後,可提供附加操作,而對於有關此方法的附加實施例,可替換、排除或移動所描述的某些操作。
在圖6A至圖6D作進一步詳細說明的操作402、404、406、408與410分別相同於方法300的操作302、304、306、308與310。操作302、304、306、308與310的詳細內容會在圖3A至圖3D與圖4A至圖4G作進一步說明,並且搭配圖2A作詳細說明。
在操作412中,極紫外光微影光罩200會在電漿蝕刻機中以氮氣292進行清洗(purged)以保護覆蓋層230。操作412的詳細內容會在圖6E作進一步說明。
請參閱圖6E,氮氣292的清洗會吸收頂面部250a上、第一及第二側壁250b上以及覆蓋層230暴露的部分上的氮原子。在某些實施例中,使用約0W的源功率,約0W的偏壓功率,約10至50毫托的壓力,約0sccm的氧氣流率,約50至150sccm的氮氣流率,以及約30至90秒的持續時間,施加於氮氣292清洗。在某些實施例中,氮氣清洗使氮原子嵌入於覆蓋層230的晶粒邊界,從而保護覆蓋層230免受氧化所導致的損傷。
在操作414中,在電漿蝕刻機中,極紫外光微影光罩200可以選擇性地用氮電漿290來處理,以保護覆蓋層230並增加溝渠251的臨界尺寸。操作414的詳細內容會在圖6F作進一步說明。
請參閱圖6F,氮電漿290與形成在頂面部250a上、第一及第二側壁250b上與覆蓋層230暴露的部分反應。在某些實施例中,可使用約600W至約1000W的源功率,約0W的偏壓功率,約0sccm的載氣流率,約0sccm的氧氣流率,約150至250sccm的氮氣流率,以及約20至約240秒的持續時間,施加於氮電漿。在某些實施例中,吸收層250包括氧化鉭,而反應會形成氮化硼鉭。在某些實施例中,氮電漿使氮原子嵌入於覆蓋層230的晶粒邊界中,從而保護覆蓋層230免受氧化所導致的損傷。
由於在操作414中的氮電漿290處理,第一及第二側壁250b每一者的厚度從第一厚度T1減少到第二厚度T2,而溝渠251的寬度從第一寬度W1增加到第二寬度W2。在某些實施例中,第二厚度T2可約為0.2至約0.3奈米。在某些實施例中,頂面部250a的高度可減少約一個距離T2。在其他實施例中,頂面部250a的高度可被減少約在0至約距離T2之間。
由此可知,作為操作414的結果,頂面部250a向下移動,第一及第二側壁250b彼此遠離,吸收層250的橫向(或水平)尺寸在溝渠251每一側上減少第二厚度T2,而溝渠251的寬度增加為兩倍第二厚度T2。
雖然操作412與414僅在方法400的上下文中描述,但理解的是,在某些實施例中,這些操作可在方法300的操作312之前進行。
在操作416中,於電漿蝕刻機內,採用氧/氮電漿282來處理極紫外光微影光罩200,以提升吸收層250上的氧化層成長以及降低溝渠251的臨界尺寸。在某些實施例中,利用操作416,可將臨界尺寸降低約0至0.01奈米。在其他實施例中,利用操作416,可將臨界尺寸降低約0.01至0.15奈米。在其他實施例中,利用操作416,可將臨界尺寸降低約0.15至0.2奈米。在其他實施例中,利用操作416,可將臨界尺寸降低約0.2至0.26奈米。操作416的詳細內容會在圖6G作進一步說明。
請參閱圖6G,氧/氮電漿282與吸收層250反應,以在頂面部250a上與第一及第二側壁250b成長氧化層285。在某些實施例中,吸收層250包括氮化硼鉭,而反應會形成氧化鉭。在多種實施例中,可使用約600W至1000W的源功率,約0W的偏壓功率,約8至30毫托的壓力,約20至80sccm的氧氣流率,約20至80sccm的氮氣流率,以及約30至90秒的持續時間,施加於氧/氮電漿。在第一非限制性例子中,可使用約600W的源功率,約0W的偏壓功率,約8毫托的壓力,約50sccm的氧氣流率,約50sccm的氮氣流(氧氣:氮氣的體積比=1:1),以及約30秒的持續時間,施加於氧/氮電漿282。在第二非限制性例子中,可使用約600W的源功率,約0W的偏壓功率,約8毫托的壓力,約80sccm的氧氣流率,約20sccm的氮氣流(氧氣:氮氣的體積比=4:1),以及約30秒的持續時間,施加於氧/氮電漿282。在第三非限制性例子中,可使用約1000W的源功率,約0W的偏壓功率,約8毫托的壓力,約80sccm的氧氣流率,約20sccm的氮氣流(氧氣:氮氣的體積比=4:1),以及約30秒的持續時間,施加於氧/氮電漿282。在第四非限制性例子中,可以使用約1000W的源功率,約0W的偏壓功率,約8毫托的壓力,約80sccm的氧氣流率,約20sccm的氮氣流(氧氣:氮氣的體積比=4:1),以及約60秒的持續時間,施加於氧/氮電漿282。在多種實施例中,根據第四非限制性例子,可以使用約30至約90秒的持續時間施加於氧/氮電漿282。理解的是,可利用改變氧氣:氮氣的比例、所施加的源功率以及氧/氮電漿282的持續時間來控制臨界尺寸。
在點燃氧/氮電漿282以前,可使用約0W的源功率,約0W的偏壓功率,約10至50毫托的壓力,以及約60秒的持續時間,施加於氧/氮電漿282。點燃前的氧氣與氮氣流率與各自的氧/氮電漿處理的氧氣與氮氣流率相匹配。
由於在操作416中的氧/氮電漿282處理,第一及第二側壁250b每一者的厚度從第二厚度T2增加到第三厚度T3,而溝渠251的寬度從第二寬度W2減少到第三寬度W3。在某些實施例中,第三厚度T3可約為0.5至約0.7奈米。在某些實施例中,頂面部250a的高度可增加約等於第二厚度T2與第三厚度T3之間的厚度差。在其他實施例中,頂面部250a的高度可被增加在約0奈米至約等於第二厚度T2與第三厚度T3之間的厚度差之間。
由此可知,作為操作416的結果,頂面部250a向上移動,第一及第二側壁250b彼此相向移動,吸收層250的橫向(或水平)尺寸在溝渠每一側上增加等於第二厚度T2與第三厚度T3之間的厚度差,而溝渠251的寬度減少兩倍的第二厚度T2與第三厚度T3之間的厚度差。氧/氮電漿282也與覆蓋層230暴露的部分反應。在某些實施例中,覆蓋層230包括釕,而反應會形成氧化釕。在某些實施例中,藉由操作412中的氮氣292清洗,操作414中採用氮電漿290的預處理(pre-treatment),及/或操作416中氧/氮電漿282處理本身,覆蓋層可受到保護而免於操作416的氧化而損傷。
在某些實施例中,吸收層250包括鉭、鈦、鉻、鈀、鉬或其他元素。吸收層250中的一些元素可經由氧/氮電漿處理而被氧化。例如,吸收層250可包括鉭(Ta)、硼化鉭(TaB)或氮化硼鉭(TaBN),而吸收層250的這些材料能與氧/氮電漿反應而形成氧化鉭(TaO)、五氧化二鉭(Ta2
O5
)或氧化硼鉭(TaBO)。一旦被氧化,吸收層250的橫向(或水平)尺寸會增加,而溝渠251的橫向尺寸卻減少。這能用來控制晶圓(例如基材116)上的電路圖案的臨界尺寸。為了控制氧化,方法400進行操作416以處理極紫外光微影光罩200的多個不同暴露表面。在某些實施例中,吸收層250包括由氧化反應所產生的濃度梯度(concentration gradient),其中頂面部250a及/或第一及第二側壁250b包括金屬氧化物的第一濃度,吸收層250的塊材部(bulk portion)包括金屬氧化物的第二濃度,其低於上述第一濃度,而吸收層250包括金屬氧化物的濃度梯度,其位於頂面部250a與塊材部之間。
方法400可包括如圖2B所示的額外可選擇的操作。詳細而言,在操作414之後,第二寬度W2在臨界尺寸-掃描電子顯微鏡中可被量測,並且比較第二寬度W2與目標寬度,以判斷第二寬度W2是否位於目標寬度。同樣地,在操作416之後,第三寬度W3在臨界尺寸-掃描電子顯微鏡中可被量測,並且比較第三寬度W3與目標寬度,以判斷第三寬度W3是否位於目標寬度。在每一次比較之後,取決於比較結果,操作414中的額外氮電漿290處理或操作416中的額外氧/氮電漿282處理能用來進一步處理極紫外光微影光罩200。
在某些實施例中,在製造極紫外光微影光罩108、200期間,方法300與400係應用於光罩製造商。在其他實施例中,方法300、400的各種步驟可被應用在清洗、晶圓製造或使用極紫外光微影光罩108與200的期間。在一非限制性例子中,在清洗或晶圓製造步驟的期間,溝渠251的寬度可被量測,並與目標寬度比較。若溝渠251的寬度不再位於目標寬度,則極紫外光微影光罩200可被轉送回光罩製造商,以進行方法300、400的額外操作,以將極紫外光微影光罩200帶至目標寬度的規格內,此目標寬度對應至晶圓上的電路圖案的目標臨界尺寸。
根據一些實施例,方法300、400的氧電漿280處理、氧/氮電漿282處理與氮電漿290處理其中一者可改變吸收層250的表面性質。在某些方面,氧電漿280處理、氧/氮電漿282處理與氮電漿290處理其中一者可去除表面汙染物,例如碳。在其他方面中,氧電漿280處理、氧/氮電漿282處理與氮電漿290處理其中一者可增加吸收層250經處理後表面的親水性(hydrophilicity)或其他極紫外光微影光罩200裸露的基材210、膜層220或230的親水性。親水性的增加可以降低清洗溶液在極紫外光微影光罩200經處理後的表面上的接觸角度。利用這種方式,增加親水性能改善光罩清洗期間的微粒去除率。
本揭露提供許多不同實施例。在一實施例中,提供一個方法。此方法包括製造用於極紫外光微影的光罩,並包括接收極紫外光微影光罩,其包括具有低溫度膨脹材料的基材、位在基材之上的反射多層膜、位在反射多層膜之上的覆蓋層以及位於覆蓋層之上的吸收層;圖案化吸收層,以在極紫外光微影光罩上形成溝渠,其中溝渠具有在目標寬度以上的第一寬度,其中目標寬度對應至半導體晶圓上的臨界尺寸,其中溝渠具有第一側壁與第二側壁;用氧電漿(oxygen plasma)處理極紫外光微影光罩並藉由提升在第一側壁與第二側壁上的氧化層成長來降低溝渠的寬度至第二寬度,其中第二寬度低於目標寬度;以及用氮電漿處理極紫外光微影光罩,以保護覆蓋層,其中藉由對第一側壁與第二側壁的蝕刻,上述用氮電漿對極紫外光微影光罩的處理擴大溝渠的寬度至一第三寬度,其中第三寬度位於目標寬度。
在另一實施例中,提供一光罩。此光罩包括用於圖案化半導體晶圓的極紫外光微影(EUVL)光罩,其包括具有低溫度膨脹材料的基材;位於基材之上的反射多層膜;位於反射多層膜之上的覆蓋層;以及位於覆蓋層之上的吸收層,其中吸收層包括金屬氮化物,其中形成於吸收層內的溝渠具有第一側壁與第二側壁,其中第一與第二側壁包括金屬氧化物,而其中金屬氮化物與金屬氧化物每一者包括第一金屬。
在一些實施例中,上述方法包括利用微影圖案化半導體晶圓,包括裝載光罩至微影工具,其中光罩包括:具有低溫度膨脹材料的基材;位於基材之上的反射多層膜;位於反射多層膜之上的覆蓋層;以及位於覆蓋層之上的吸收層,其中吸收層包括金屬氮化物,其中形成於吸收層內的溝渠具有第一側壁與第二側壁,其中第一側壁與第二側壁包括金屬氧化物,而金屬氮化物與金屬氧化物每一者包括第一金屬;裝載半導體晶圓至微影工具;以及使用光罩來對半導體晶圓進行曝光製程。
在一些實施例中,上述方法包括製造用於極紫外光微影(EUVL)的光罩,並包括接收此光罩,其包括具有低溫度膨脹材料的基材、位在基材之上的反射多層膜、位在反射多層膜之上的覆蓋層以及位於覆蓋層之上的吸收層;第一,圖案化吸收層,以在光罩上形成溝渠,其中溝渠具有在目標寬度以上的第一寬度,而其中目標寬度對應至半導體晶圓上的臨界尺寸;第二,用氧電漿處理光罩,以降低溝渠至第二寬度;第三,量測第二寬度;以及,基於此第二寬度的量測,第四,進行以下其中之一:若第二寬度低於目標寬度,用氮電漿處理光罩,以擴大溝渠至第三寬度;以及,若第二寬度高於目標寬度,用氧電漿處理光罩,以降低溝渠至第四寬度。在某些實施例中,第二寬度低於目標寬度。在某些實施例中,方法包括第四次用氮電漿處理光罩,以擴大溝渠至第三寬度;第五,量測第三寬度;以及基於此第三寬度的量測,第六,進行以下其中之一:若第三寬度低於目標寬度,用氮電漿處理光罩,以擴大溝渠至第五寬度;以及,若第三寬度位於目標寬度之上,使用氧電漿來處理光罩,以降低溝渠至第六寬度。在其他實施中,上述方法包括在用氧電漿處理極紫外光微影光罩後,移動極紫外光微影光罩至量測儀器;以及,使用量測儀器來量測第二寬度。在某些實施例中,上述方法在用氮電漿處理極紫外光微影光罩之後,移動極紫外光微影光罩至量測儀器;以及,使用上述量測儀器,量測第三寬度。
在一些實施例中,上述方法包括利用極紫外光微影(EUVL)圖案化半導體晶圓,並包括接收極紫外光微影光罩,其包括具有低溫度膨脹材料的基材、位在基材之上的反射多層膜、位在反射多層膜之上的覆蓋層以及位於覆蓋層之上的吸收層;圖案化吸收層,以在極紫外光微影光罩上形成溝渠,其中溝渠具有在目標寬度以上的第一寬度,其中目標寬度對應至半導體晶圓上的臨界尺寸,其中溝渠具有第一側壁與第二側壁;用氮氣清洗極紫外光微影光罩,以保護覆蓋層;用氧/氮電漿處理極紫外光微影光罩,並藉由提升在第一與第二側壁上的氧化層成長來降低溝渠的寬度至第三寬度,其中第三寬度位於目標寬度;以及,使用極紫外光微影光罩,圖案化晶圓,其中圖案化晶圓包括對應至目標寬度的臨界尺寸。在某些實施例中,上述方法包括在用氮氣清洗極紫外光微影光罩之後以及在用氧/氮電漿處理極紫外光微影光罩之前,用氮電漿處理極紫外光微影光罩,以保護覆蓋層,其中藉由對第一與第二側壁的蝕刻,用氮電漿處理極紫外光微影光罩會擴大溝渠至第二寬度。在某些實施例中,氧/氮電漿具有約1:1的氧氣/氮氣體積比。在某些實施例中,氧/氮電漿具有約4:1的氧氣/氮氣體積比。在某些實施例中,藉由氮原子嵌入於覆蓋層的晶粒邊界,用氮氣對極紫外光微影光罩的清洗保護覆蓋層。
上述已概述數個實施方式的特徵,因此發明所屬技術領域中具有通常知識者可更了解本揭露之一實施例的態樣。發明所屬技術領域中具有通常知識者應了解到,其可輕易地利用本揭露之一實施例作為基礎,來設計或潤飾其他製程與結構,以實現與在此所介紹之實施方式相同之目的及/或達到相同的優點。發明所屬技術領域中具有通常知識者也應了解到,這類對等架構並未脫離本揭露之一實施方式之精神和範圍,且發明所屬技術領域中具有通常知識者可在不脫離本揭露之一實施方式之精神和範圍下,在此進行各種之更動、取代與替代。
100:系統
102:發光源
106:聚光件
104:照射光束
108、200:極紫外光微影光罩
110:光罩台
112:投射件
114:基材承載台
116、210:基材
205、220、230、250:膜層
205:導電層
220:反射多層膜
230:覆蓋層
240:電路圖案
250:吸收層
250a:頂面部
250b:第一及第二側壁
251、254:溝渠
260、270:光阻層
280:氧電漿
282:氧/氮電漿
285:氧化層
290:氮電漿
292:氮氣
300、400:方法
302、304、306、308、310、312、314、316、318、320、322、324、402、404、406、408、410、412、414、416:操作
T1、T4:第一厚度
T2、T5:第二厚度
T2:距離
T2:長度
T3、T6:第三厚度
W1、W4:第一寬度
W2、W5:第二寬度
W3、W6:第三寬度
當結合隨附圖式閱讀時,自以下詳細描述將最佳地理解本揭露之一實施例的態樣。應強調的是,根據工業中的標準實務,圖式中的各個特徵並非按比例繪製,僅用於說明之目的。實際上,為能清楚地探討,各個特徵的尺寸可任意增加或縮小。
[圖1A]是本揭露之一實施例所實現的採用極紫外光微影光罩的極紫外光(EUV)微影曝光系統的示意圖。
[圖1B]是本揭露之一實施例的極紫外光微影光罩的剖面示意圖。
[圖1C]是本揭露之一實施例的極紫外光微影光罩的剖面示意圖。
[圖2A]與[圖2B]是根據本揭露多種實施例繪示製造極紫外光微影光罩方法的流程示意圖。
[圖3A]、[圖3B]、[圖3C]、[圖3D]、[圖3E]與[圖3F]是根據本揭露多種實施例繪示極紫外光微影光罩在各種製造階段期間的剖面示意圖。
[圖4A]、[圖4B]、[圖4C]、[圖4D]、[圖4E]、[圖4F]、[圖4G]、[圖4H]與[圖4I]是根據本揭露多種實施例繪示極紫外光微影光罩在各種製造階段期間的剖面示意圖。
[圖5]是根據本揭露多種實施例繪示製造極紫外光微影光罩方法的流程示意圖。
[圖6A]、[圖6B]、[圖6C]、[圖6D]、[圖6E]、[圖6F]與[圖6G]是根據本揭露多種實施例繪示極紫外光微影光罩在各種製造階段期間的剖面示意圖。
300:方法
302、304、306、308、310、312、318:操作
Claims (20)
- 一種利用極紫外光微影(EUVL)圖案化半導體晶圓的方法,包括: 接收一極紫外光微影光罩,該極紫外光微影光罩包括一基材,該基材具有一低溫度膨脹材料、在該基材上的一反射多層膜、在該反射多層膜上的一覆蓋層以及位於該覆蓋層上的一吸收層; 圖案化該吸收層,以在該極紫外光微影光罩上形成一溝渠,其中該溝渠具有在一目標寬度以上的一第一寬度,其中該目標寬度對應至該半導體晶圓上的一臨界尺寸,其中該溝渠具有一第一側壁與一第二側壁; 使用氧電漿處理該極紫外光微影光罩,並藉由提升在該第一側壁與該第二側壁上的氧化層成長來降低該溝渠的寬度至一第二寬度,其中該第二寬度低於該目標寬度;以及 用氮電漿處理該極紫外光微影光罩,以保護該覆蓋層,其中藉由對該第一側壁與該第二側壁的蝕刻,上述用該氮電漿對該極紫外光微影光罩的處理擴大該溝渠的寬度至一第三寬度,其中該第三寬度位於該目標寬度。
- 如請求項1所述的方法,其中使用實質為600至1000W的源功率、實質為150至250sccm的氧氣流速施加該氧電漿經實質為70至200秒的時間。
- 如請求項1所述的方法,其中使用實質為600至1000W的源功率、150至250sccm的氮氣流速施加該氮電漿經實質為20至240秒的時間。
- 如請求項1所述的方法,其中使用實質為0W的偏壓功率所實施的該氮電漿與該氧電漿每一者。
- 如請求項1所述的方法,其中使用該氮電漿與該氧電漿其中一者對該極紫外光微影光罩的處理使該極紫外光微影光罩的一表面更加親水,以改善在清洗期間的一微粒去除率。
- 如請求項1所述的方法,其中使用該氮電漿與該氧電漿其中一者對該極紫外光微影光罩的處理降低位在該極紫外光微影光罩上的一清洗溶液的一接觸角度。
- 如請求項1所述的方法,其中使用該氧電漿對該極紫外光微影光罩的處理包括氧/氮電漿。
- 如請求項1所述的方法,更包括: 在用該氧電漿處理該極紫外光微影光罩後以及在用該氮電漿處理該極紫外光微影光罩前,將該極紫外光微影光罩移入至一量測儀器;以及 使用該量測儀器來量測該第二寬度,以判斷該第二寬度低於該目標寬度。
- 如請求項1所述的方法,更包括: 在用該氮電漿處理該極紫外光微影光罩之後,將該極紫外光微影光罩移入至一量測儀器;以及 使用該量測儀器來量測該第三寬度,以判斷該第三寬度位於該目標寬度。
- 如請求項1所述的方法,其中該溝渠為位於該極紫外光微影光罩的一電路圖案區域中的一第一溝渠,還包括圖案化該吸收層以在該電路圖案區域內形成多個溝渠,其中該些溝渠對應至該電路圖案轉移至該半導體晶圓。
- 如請求項10所述的方法,其中該些溝渠各自具有在該目標寬度以上的該第一寬度,其中上述用該氧電漿對該極紫外光微影光罩的處理降低各該溝渠的寬度至在該目標寬度以下的該第二寬度,而上述用該氮電漿對該極紫外光微影光罩的處理擴大各該溝渠的寬度至該第三寬度,其中各該溝渠的該第三寬度位於該目標寬度。
- 如請求項1所述的方法,其中該極紫外光微影光罩包括鄰近該電路圖案區域的一晶片邊界區域,還包括圖案化該吸收層以在該晶片邊界區域內形成一第二溝渠,其中該第二溝渠暴露該基材與該反射多層膜每一者的一表面。
- 一種極紫外光微影光罩,其用於圖案化一半導體晶圓,包括: 一基材,具有一低溫度膨脹材料; 一反射多層膜,位於該基材之上; 一覆蓋層,位於該反射多層膜之上;以及 一吸收層,位於該覆蓋層之上,其中該吸收層包括一金屬氮化物,其中一溝渠係形成於該吸收層內,並具有一第一側壁與一第二側壁,其中該第一側壁與該第二側壁包括一金屬氧化物,而該金屬氮化物與該金屬氧化物其中每一者包括一第一金屬。
- 如請求項13所述的光罩,其中該金屬氧化物為一第一金屬氧化物,其中配置在該溝渠內的部分該覆蓋層包括一第二金屬氧化物,而該覆蓋層與該第二金屬氧化物其中每一者包括一第二金屬。
- 如請求項14所述的光罩,其中接觸該吸收層的部分該覆蓋層沒有該第二金屬氧化物。
- 如請求項13所述的光罩,其中該第一金屬為鉭,而該金屬氧化物為氧化鉭。
- 一種利用微影圖案化半導體晶圓的方法,包括: 裝載一光罩至一微影工具,其中該光罩包括: 一基材,具有一低溫度膨脹材料; 一反射多層膜,位於該基材之上; 一覆蓋層,位於該反射多層膜之上;以及 一吸收層,位於該覆蓋層之上,其中該吸收層包括一金屬氮化物,其中形成於該吸收層內的一溝渠具有一第一側壁與一第二側壁,其中該第一側壁與該第二側壁包括一金屬氧化物,而該金屬氮化物和該金屬氧化物其中每一者包括一第一金屬; 裝載該半導體晶圓至該微影工具;以及 使用該光罩來對該半導體晶圓進行一曝光製程。
- 如請求項17所述的方法,其中該光罩為一極紫外光微影光罩,而該曝光製程採用一極紫外光發光源。
- 如請求項17所述的方法,其中該電漿處理包括氧電漿。
- 如請求項17所述的方法,其中該電漿處理包括氧/氮電漿。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962880340P | 2019-07-30 | 2019-07-30 | |
US62/880,340 | 2019-07-30 | ||
US16/776,046 US11215918B2 (en) | 2019-07-30 | 2020-01-29 | Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask |
US16/776,046 | 2020-01-29 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202113458A true TW202113458A (zh) | 2021-04-01 |
TWI739532B TWI739532B (zh) | 2021-09-11 |
Family
ID=74259622
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109125624A TWI739532B (zh) | 2019-07-30 | 2020-07-29 | 極紫外光微影光罩與利用極紫外光微影圖案化半導體晶圓的方法 |
Country Status (3)
Country | Link |
---|---|
US (3) | US11215918B2 (zh) |
CN (1) | CN112305856B (zh) |
TW (1) | TWI739532B (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11215918B2 (en) | 2019-07-30 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask |
US11221554B2 (en) * | 2020-01-17 | 2022-01-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | EUV masks to prevent carbon contamination |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3015822B2 (ja) * | 1998-03-06 | 2000-03-06 | 工業技術院長 | 固体選択成長用マスク及びその製造方法 |
JP2003092287A (ja) * | 2001-09-19 | 2003-03-28 | Nec Corp | アッシング方法 |
TWI249789B (en) * | 2004-04-23 | 2006-02-21 | United Microelectronics Corp | Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene structures |
US7361572B2 (en) * | 2005-02-17 | 2008-04-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | STI liner modification method |
US7482280B2 (en) * | 2005-08-15 | 2009-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming a lithography pattern |
US7759253B2 (en) * | 2006-08-07 | 2010-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and material for forming a double exposure lithography pattern |
US20080241708A1 (en) | 2007-04-02 | 2008-10-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Sub-resolution assist feature of a photomask |
TW201123264A (en) * | 2009-12-29 | 2011-07-01 | Taiwan Memory Company | Semiconductor double patterning procedure |
US8492054B2 (en) * | 2011-03-25 | 2013-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for patterning fine features |
US8841047B2 (en) | 2012-04-02 | 2014-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Extreme ultraviolet lithography process and mask |
US8877409B2 (en) | 2012-04-20 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reflective mask and method of making same |
US9093530B2 (en) | 2012-12-28 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of FinFET |
US9425062B2 (en) | 2013-03-14 | 2016-08-23 | Applied Materials, Inc. | Method for improving CD micro-loading in photomask plasma etching |
US9052595B2 (en) | 2013-03-15 | 2015-06-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithography process |
US8796666B1 (en) | 2013-04-26 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with strain buffer layer and methods of forming the same |
US9548303B2 (en) | 2014-03-13 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices with unique fin shape and the fabrication thereof |
US9377693B2 (en) | 2014-03-13 | 2016-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Collector in an extreme ultraviolet lithography system with optimal air curtain protection |
US9529268B2 (en) | 2014-04-03 | 2016-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Systems and methods for improving pattern transfer |
US9256123B2 (en) | 2014-04-23 | 2016-02-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of making an extreme ultraviolet pellicle |
US9184054B1 (en) | 2014-04-25 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US9165765B1 (en) * | 2014-09-09 | 2015-10-20 | Tokyo Electron Limited | Method for patterning differing critical dimensions at sub-resolution scales |
US10495970B2 (en) | 2017-11-15 | 2019-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Critical dimension uniformity |
US10866504B2 (en) | 2017-12-22 | 2020-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lithography mask with a black border region and method of fabricating the same |
US11048158B2 (en) | 2018-04-18 | 2021-06-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for extreme ultraviolet lithography mask treatment |
US11215918B2 (en) | 2019-07-30 | 2022-01-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask |
-
2020
- 2020-01-29 US US16/776,046 patent/US11215918B2/en active Active
- 2020-07-29 TW TW109125624A patent/TWI739532B/zh active
- 2020-07-30 CN CN202010751636.5A patent/CN112305856B/zh active Active
-
2022
- 2022-01-04 US US17/568,037 patent/US11650493B2/en active Active
-
2023
- 2023-05-15 US US18/317,368 patent/US11960201B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
CN112305856A (zh) | 2021-02-02 |
US20210033960A1 (en) | 2021-02-04 |
TWI739532B (zh) | 2021-09-11 |
CN112305856B (zh) | 2024-05-24 |
US11960201B2 (en) | 2024-04-16 |
US20230280645A1 (en) | 2023-09-07 |
US11215918B2 (en) | 2022-01-04 |
US20220121103A1 (en) | 2022-04-21 |
US11650493B2 (en) | 2023-05-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8679707B2 (en) | Method of fabricating a lithography mask | |
US6583068B2 (en) | Enhanced inspection of extreme ultraviolet mask | |
TWI720357B (zh) | 半導體裝置的製造方法 | |
US9733562B2 (en) | Extreme ultraviolet lithography process and mask | |
US11960201B2 (en) | Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask | |
US11735421B2 (en) | Reflection mode photomask and method of making | |
US11988953B2 (en) | EUV masks to prevent carbon contamination | |
US20160299419A1 (en) | Lithography Mask | |
TWI754500B (zh) | 反射式光罩坯體及其製造方法 | |
TWI830983B (zh) | 極紫外光微影相移光罩 |