TW202111162A - 半導體裝置製造中在金屬電沉積期間的晶種層保護 - Google Patents

半導體裝置製造中在金屬電沉積期間的晶種層保護 Download PDF

Info

Publication number
TW202111162A
TW202111162A TW109114173A TW109114173A TW202111162A TW 202111162 A TW202111162 A TW 202111162A TW 109114173 A TW109114173 A TW 109114173A TW 109114173 A TW109114173 A TW 109114173A TW 202111162 A TW202111162 A TW 202111162A
Authority
TW
Taiwan
Prior art keywords
semiconductor substrate
cobalt
copper
protective layer
layer
Prior art date
Application number
TW109114173A
Other languages
English (en)
Inventor
朱煥豐
強納森 大衛 李德
儉 周
塔里克 馬吉德
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202111162A publication Critical patent/TW202111162A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1621Protection of inner surfaces of the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1675Process conditions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • C23C28/322Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer only coatings of metal elements only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • C25D17/08Supporting racks, i.e. not for suspending

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

於進行電鍍之前在半導體基板上的銅晶種層上方形成保護層。該保護層能夠保護銅晶種層免於氧化並免於在電鍍的最初階段溶解在電解液中。在一些實施例中,該保護層避免該銅晶種層接觸大氣,並免於受到大氣中的氧及/或濕氣而氧化。該保護層包含比銅較不惰性的金屬(例如,鈷),其中該金屬可在易於溶解於電鍍液體中的氧化物形式中。在一實施例中,係在不將銅晶種層暴露至大氣的情況下,透過化學氣相沉積以在銅晶種層上方沉積鈷金屬而形成保護性鈷層,隨後接著在將基板暴露至大氣之後使鈷氧化為鈷氧化物。所得的保護層係在電鍍期間被溶解。

Description

半導體裝置製造中在金屬電沉積期間的晶種層保護
本揭露總體上係關於半導體基板上的金屬層電鍍。更具體而言,其係關於鑲嵌(Damascene)處理中在銅電鍍期間的銅晶種層保護。
鑲嵌處理係一種用於在積體電路上形成金屬線的方法。其涉及形成溝槽中的鑲嵌金屬線、以及形成在介電層(金屬間介電質)中的通孔。鑲嵌處理往往是一種較佳的方法,原因在於其僅需要比其他方法更少的處理步驟並提供較高的良率。其還特別適合無法透過電漿蝕刻來進行圖案化的金屬,像是銅。
在典型的鑲嵌處理流程中,係將金屬(例如,銅)電鍍在已圖案化的介電質上,以填充形成在介電層中的通孔及溝槽。所得到的金屬化層通常是直接形成在帶有主動元件的層上、或是在另一金屬化層上。使用鑲嵌處理可形成數種金屬化層的堆疊。這種堆疊的金屬填充線係用作積體電路的導電路徑。
在將金屬沉積至已圖案化的介電質的通孔及溝槽中之前,用擴散阻障材料(例如,TaNx 、TiNx 、或WNx )的薄層、並隨後用導電晶種層材料(例如,Cu)的薄層給介電層加上襯墊。擴散阻障層保護金屬間介電質(IMD)及主動元件免於銅以及其他易於擴散的金屬擴散進入這些區域中。晶種層(例如,銅晶種層)係作為在銅的電填充(electrofill)操作期間產生電性接觸的導電層。可將像是Ti、Ta、或Co層的濕潤層夾在擴散阻障層與晶種層之間,以促進擴散阻障材料與晶種層材料之間的附著性。
在銅的電鍍期間,通常係在基板的周緣處與導電晶種層產生電性接觸。將基板進行陰極偏壓並浸入電解液中,所述電解液係包含銅離子且通常包含促進鑲嵌特徵部之填充的酸與有機電鍍添加劑。
在電鍍期間,包含在電解液中的銅離子會在陰極偏壓的基板處還原,使得銅根據式(I)而電沉積在導電晶種層上。 Cu2+ + 2e- → Cu                                                          (1)
需要在鑲嵌處理中進行填充的凹陷特徵部尺寸隨著元件的持續微型化而變得更小。
此處所提供之先前技術描述係為了一般性呈現本揭露之背景的目的。本案列名發明人的工作成果、至此先前技術段落的所述範圍、以及申請時可能不適格作為先前技術的實施態樣,均不明示或暗示承認為對抗本揭露內容的先前技術。
導電晶種層的整體性對於成功的無缺陷(defect-free)電沉積係十分重要的。若晶種層受損或為不連續的,則電鍍可能會造成空隙的形成。這種問題在窄凹陷特徵部所需的薄晶種層上進行電沉積的期間係特別顯著。在本發明的各種實施態樣中,透過在電鍍期間溶解的犧牲膜來保護晶種層而解決這些問題。 在一實施態樣中,提供一種半導體基板的處理方法。在一些實施例中,該方法包括:(a)提供半導體基板,其中所提供的該半導體基板具有至少一凹陷特徵部(例如,溝槽及/或通孔),並且包括至少位在該至少一凹陷特徵部的側壁上的暴露銅晶種層;及(b)在該銅晶種層上方形成保護層,其中該保護層包括比銅較不惰性(less noble)的金屬。這種金屬的示例包括鈷、錫、鋅、與鐵,其中該金屬可處於零及/或非零的氧化態中。在一些實施例中,在(a)之中所提供的基板更包括下伏於該銅晶種層的鈷附著層、以及下伏於該鈷附著層的擴散阻障層。在一些實施例中,該至少一凹陷特徵部的寬度約為20 nm或更小。
在一實施例中,該保護層為鈷層。保護性鈷層可包括鈷氧化物、處於零氧化態中的鈷、或是處於零氧化態中的鈷與鈷氧化物的混合。在一些實施例中,係使用化學氣相沉積(CVD)或原子層沉積(ALD)來形成鈷保護層。在其他實施例中,係使用物理氣相沉積(PVD)來形成鈷保護層。在一些實施例中,鈷保護層的形成包括在將基板暴露至空氣之後使已沉積的鈷氧化而形成鈷-氧鍵。
在一實行例中,基板的處理方法包括沉積銅晶種層以提供具有暴露銅晶種層的基板緊接著沉積鈷保護層,使得在已沉積該銅晶種層之後且在沉積該鈷保護層之前不會使該基板暴露至大氣。在特定的實行例中,該銅晶種層係透過PVD進行沉積,而該鈷保護層係透過CVD進行沉積,在兩沉積之間不具有空氣打斷(air break)。
在一些實施例中,該保護層係保形地進行沉積並且覆蓋該至少一凹陷特徵部的該等側壁處的該銅晶種層。在其他實施例中,係將該保護層沉積在該半導體基板的場區域上方,使其覆蓋該至少一凹陷特徵部的開口,進而防止該至少一凹陷特徵部的該等側壁上的該銅晶種層接觸大氣。
在一些實施例中,在已沉積該保護層之後,係將該基板暴露至大氣並將銅電鍍至該至少一凹陷特徵部中,使得在銅的電沉積期間該保護層係實質地溶解。舉例來說,若該保護層為鈷保護層,則在暴露至大氣之後通常至少一些所沉積的鈷會氧化以形成鈷-氧鍵。
在一些實施例中,形成在銅晶種層上方的保護層厚度係介於約10-50 Å之間。在一實施例中,形成在銅晶種層上方的保護層厚度係介於約10-20 Å之間,且該銅晶種層在該至少一凹陷特徵部的該等側壁處之厚度係介於約20-30 Å之間。
在另一實施態樣中,提供一種將銅電沉積在半導體基板上之凹陷特徵部中的方法。該方法包括將具有保護層的半導體基板提供至電鍍設備中並將銅電沉積在該基板上,使得在電沉積操作的期間(通常係在基板與電解液最初接觸的期間)該保護層係實質地溶解。在一些實施例中,用於電鍍的半導體基板包括用銅晶種層加上襯墊的至少一凹陷特徵部,且該半導體基板包括覆蓋在該銅晶種層上的暴露保護層,其中該保護晶種層包括比銅較不惰性的金屬。將該基板與包含銅離子的酸性電解液接觸並進行陰極偏壓,使得該保護層實質地溶解並將銅電鍍至該至少一凹陷特徵部中。在一些實行例中,比銅較不惰性的該金屬為鈷,且其中在進行電鍍之前鈷在該保護層中形成鈷-氧鍵。在一些實行例中,該保護層具有介於約10-50 Å之間的厚度。在一些實施例中,電鍍包括在不將該半導體基板進行偏壓的情況下使該半導體基板與該酸性電解液最初接觸。在一些實施例中,該至少一凹陷特徵部具有介於約7-14 nm之間的寬度。例如在一實行例中,該至少一凹陷特徵部具有介於約7-14 nm之間的寬度,而該保護層在該至少一凹陷特徵部的側壁上具有介於約1-2 nm之間的厚度。
可將所有提供的方法與光微影處理方案進行整合,並可更包括:將光阻施加至該半導體基板;將該光阻曝光;將光阻進行圖案化並將圖案轉移至該半導體基板;以及選擇性地將光阻從該半導體基板移除。
在另一實施態樣中,提供一種半導體基板的處理設備,其中該設備包括:(a)配置用於沉積金屬的一或更多處理腔室;及(b)包括複數程式指令的控制器,該等程式指令係用於使保護層沉積在該半導體基板的銅晶種層上方,該保護層包括比銅較不惰性的金屬。在一實行例中,比銅較不惰性的該金屬為鈷,且該等程式指令包括透過使用含鈷前驅物的反應(例如,在CVD或ALD處理腔室中)以將鈷進行沉積的指令。在一些實施例中,該控制器包括用於將該保護層沉積在厚度介於約10-50 Å之間的程式指令。
該控制器可更包括複數程式指令,用於在沉積該保護層之前進行銅晶種層的沉積。在一些實施例中,該設備包括配置用於沉積該銅晶種層的PVD處理腔室、以及配置用於沉積該保護層的CVD或ALD處理腔室,其中該設備係配置用於在不將該半導體基板暴露至大氣的情況下將該半導體基板從該PVD處理腔室傳輸至該CVD或ALD處理腔室。
根據另一實施態樣,在本文中提供一種系統,包括本文所提供的任何設備以及步進機(stepper)。
根據另一實施態樣,提供一種非瞬態電腦機器可讀媒體。其包括用於控制沉積設備及/或電鍍設備的程式指令,並可包括用於執行本文所提供之任何方法的編碼。在一些實施例中,係將編碼提供用於:(a)在具有至少一凹陷特徵部的基板上沉積銅晶種層;及(b)在不將基板暴露至大氣的情況下將保護層沉積在該銅晶種層上方。
根據另一實施態樣,提供一種部分加工的半導體裝置,其中該半導體裝置包括形成在介電層中的複數凹陷特徵部,其中基板包括上覆於銅晶種層的暴露鈷層。
本揭露的這些及其他特徵與優點將參照相關圖式而更詳細地描述於下。
提供一種用於保護銅晶種層的方法。該方法可用以保護銅晶種層免於在大氣中不期望的氧化、以及免於溶解在酸性電解液中。該方法可用在各種半導體基板上,但尤其有利於具有窄凹陷特徵部的半導體基板,例如凹陷特徵部具有小於約20 nm的寬度(是指已將銅晶種層進行沉積之後的寬度),例如小於約15 nm,舉例來說,寬度係介於約7-14 nm之間、或是介於約7-10 nm之間。具有窄特徵部的基板通常需要薄的銅晶種層(例如,1-3 nm厚的層),而特別受益於本文所提供的保護方法。當銅晶種層暴露至大氣時,銅可能會被氧化而形成銅氧化物。若銅晶種層係相對厚的,則銅氧化物通常僅會形成在銅金屬的表面上,而銅晶種層仍可執行其功能。然而,當薄的銅晶種層(例如,0.5-2 nm厚的晶種層)暴露至大氣時,銅氧化物可能會形成遍布在整個膜的深度中而造成完全不連續的晶種層。在電鍍的最初階段期間,銅氧化物可能會溶解在酸性電解液中並可能會使下伏層暴露,而因此在電鍍銅的成核作用(nucleation)中造成差異。此外,即使銅晶種層僅在表面上進行氧化並且仍然包含銅金屬,銅氧化物在酸性電解液中的快速溶解速率將會損失相當大量的晶種層材料。另外,當使用非常薄的晶種層時,在晶種層厚度以及氧化之中的偏差對於初始的晶種層厚度來說可能係巨大的。這些偏差可能會導致在電鍍期間銅的成核速率之中的巨大偏差。銅晶種層的非期望氧化通常會在電鍍期間導致空隙的形成,這在鑲嵌特徵部的側壁附近是特別顯著的,其中在鑲嵌特徵部的側壁附近銅晶種層通常是最薄的。
雖然在一些例子中,可透過將基板暴露至還原劑(例如,透過使用還原電漿處理)使銅氧化物還原成銅金屬,然而這種處理仍然可能導致銅的損失並且可能不足以緩解所有的問題。舉例而言,銅晶種層的氧化在一些情況下可能會導致下伏擴散阻障層的氧化。即使在電鍍之前將銅氧化物以氫電漿處理進行還原,這種處理將仍不足以還原已氧化的阻障材料。此外,在已氧化的擴散阻障材料與銅晶種層之間的附著力將會減弱。這些問題可透過使用本文所述的犧牲保護層來保護銅晶種層免於氧化而得以緩解。
該方法涉及在具有一或更多凹陷特徵部的半導體基板上的銅晶種層上方形成保護層。保護層包括比銅較不惰性(less noble)的金屬(例如,鈷、鋅、錫、或鐵),其中該金屬可為氧化物形式(例如,鈷氧化物、鋅氧化物、錫氧化物、或鐵氧化物)。舉例而言,可透過使用CVD或PVD率先進行鈷金屬(處於零氧化態中)的沉積使保護性的鈷層形成在銅晶種層上方,接著將基板暴露至大氣並允許鈷氧化成鈷氧化物。保護層為犧牲性的且被允許在電鍍的早期階段期間能夠溶解在電解液中。保護性的晶種層可包括處於零氧化態中的金屬、及/或已氧化的金屬(例如,金屬氧化物),其中係將保護性的晶種層化學品進行選擇使其將能夠溶解在電鍍電解液中。
舉例而言,處於零氧化態中的金屬(只要該金屬比銅較不惰性)可透過置換反應、或透過在酸中氧化而進行溶解。例如,處於零氧化態中的鈷可根據式(2)或(3)來進行溶解: Co + Cu2+ → Cu + Co2+ (2) Co + 4H+ + O2 → Co2+ + 2H2 O                                              (3) 金屬氧化物(例如,鈷氧化物)在酸性電鍍溶液中也將是可溶解的。在保護層溶解之後會暴露出下伏的銅晶種層,並將金屬(例如,銅)電鍍至暴露的銅晶種層上。這樣的保護可顯著地減少銅晶種層與下伏層的腐蝕,並因此減少電鍍層中的空隙與缺陷數量。
如本文中所使用的術語「半導體基板 」指的是半導體裝置加工的任何階段下的基板,其中所述基板在其結構中的任何一處均包含半導體材料。應當理解,位於半導體基板中的半導體材料並不需要是暴露的。具有覆蓋著半導體材料的複數其他材料層(例如,介電質)的半導體晶圓為半導體基板的示例。下方的實施方式係假設所揭露的實行例在半導體晶圓上實施,例如在200 mm、300 mm、或450 mm的半導體晶圓上。然而,所揭露的實行例並不限於此。工件可為各種形狀、尺寸、與材料。除了半導體晶圓之外,可受益於所揭露之實行例的其他工件包括各種製品,例如印刷電路板等等。
除非有特別指明,否則當使用術語「約」時是指數值包括所記載數值的±10%範圍。
術語「銅晶種層」是指包括銅的層,並含括純銅以及銅合金(例如,銅錳合金)兩者。在一些實施例中,銅晶種層中的銅含量至少約為50%,例如至少約為80%、至少約為95%、或至少約為99%,其中%指的是原子百分比。在銅晶種層中,至少一些的銅是處於零氧化態中的金屬銅。
術語「保護性金屬層」(例如,保護性鈷層)是指包括金屬的層,其中該金屬可處於零氧化態中或是在氧化形式中(處於非零氧化態中)。舉例而言,保護性鈷層可包括鈷氧化物、或實質上由鈷氧化物所組成。在一些實施例中,保護性金屬層包括處於零氧化態中以及處於非零氧化態中的金屬兩者。
「比銅較不惰性的金屬」是指金屬具有比銅更低(更負)的標準電極電位。例如,鈷、錫、鋅、與鐵具有比銅更低的標準電極電位(指的是還原至零氧化態)。
該方法對於在具有寬度小於約20 nm(例如,小於約15 nm)的窄凹陷特徵部的基板上進行電鍍係特別有用的。除非有另外註解,否則本文中所使用的凹陷特徵部之寬度是指沉積銅晶種層之後的寬度。
透過圖1A~1D來繪示所提供之方法的實施例,以顯示在處理期間半導體基板之一部份的橫剖面示意圖。該方法係由圖2來進一步繪示,以提供說明該方法之實施例的處理流程圖。請參照圖2,該處理始於操作201,以將具有至少一凹陷特徵部及暴露銅晶種層的半導體基板提供至處理腔室。在一些實施例中,基板係具有形成在介電層中的複數凹陷特徵部(例如,通孔及溝槽)的半導體晶圓。在圖1中顯示根據一實施例的基板之一部分。該基板包括介電層101,伴隨著形成在該介電層101中的凹陷特徵部103,其中用層的堆疊保形地給該基板加上襯墊,所述層的堆疊包括與介電層101接觸的擴散阻障層105、與該擴散阻障層105接觸的濕潤層107、以及形成在該濕潤層107上方的銅晶種層109。這樣的基板可獲得自:透過光微影方法對介電層101率先進行圖案化以形成凹陷特徵部103,接著依序沉積擴散阻障材料(例如,TaNx 、TiNx 、WNx 、及 WCNx 的其中一或更多者)、濕潤層材料(例如,鈷)、而最後為銅晶種層材料(例如,純銅或銅合金)。擴散阻障層105係作為保護介電層101免於銅擴散進入介電層101中。在一些實施例中,擴散阻障材料係透過PVD來進行沉積。舉例來說,藉由使用鉭或鈦濺鍍靶材、與含氮處理氣體的PVD,可將TaNx 或TiNx 的雙層沉積在基板上方。接下來,在已沉積擴散阻障材料之後,係將濕潤層107沉積在擴散阻障層105上方。濕潤層107係作為促進銅晶種材料與擴散阻障材料的附著性。在一些實施例中,並未使用濕潤層,而是將銅晶種層直接沉積在擴散阻障層105上。在所繪示的實施例中,濕潤材料為鈷,其可例如透過CVD、ALD、或PVD來進行沉積。銅晶種層109通常係透過PVD來沉積在濕潤層107上、或是在未使用濕潤層時係直接沉積在擴散阻障層105上。在圖1A中所顯示的結構係繪示一基板,其中銅晶種層109係均暴露在場區域中、凹陷特徵部的側壁上、以及凹陷特徵部的底部處。在其他實施例中,銅晶種層可僅暴露在基板的一部份上,例如至少位於凹陷特徵部的側壁處。舉例而言,在一些實施例中,凹陷特徵部的底部可包括下伏層的暴露導電材料(例如,來自下部金屬化層的銅線),其中銅晶種層係透過再濺射(resputtering)而從凹陷特徵部的底部移除。在其他實施例中,可利用非導電材料來覆蓋場區域中的銅晶種層。擴散阻障層105、濕潤層107、及銅晶種層109的厚度可取決於凹陷特徵部103的尺寸而有所改變。在一些實施例中,該等層的每一者具有介於約10-200 Å範圍內的厚度,更通常係介於約10-50 Å,其指的是側壁處的平均厚度。在一些實施例中,在沉積銅晶種層之後,凹陷特徵部的寬度係小於約20 nm,且銅晶種層的厚度介於約0.5-3 nm,其指的是側壁處的平均厚度。
在已形成具有暴露銅晶種層的基板之後,係將該基板放置在沉積處理腔室中以沉積保護層。較佳地,在銅晶種層的沉積期間、或是在沉積之後不會將銅晶種層暴露至大氣或是氧化性氣體,例如基板是在不具空氣打斷的情況下被傳輸至配置用於沉積保護層的處理腔室。這樣做係為了防止銅氧化物形成在銅晶種層上。
請參照圖2,在操作203中,較佳地係在不將銅晶種層暴露至大氣的情況下,在沉積腔室中將保護層沉積在保護性晶種層上方,其中該保護層包括比銅較不惰性的金屬。該保護層可藉由包括PVD、CVD、及ALD的各種方法來進行沉積。可在此步驟中進行沉積之比銅較不惰性的金屬示例包括鈷、錫、鋅、與鐵。在一些實施例中,所沉積之保護層中的金屬係處於零氧化態中。在一實施例中,透過在CVD或ALD處理中含鈷前驅物的反應以將鈷金屬保護層沉積在基板上。在一些實施例中,在操作205中,在進行沉積之後係將基板暴露至大氣,並允許保護層中的金屬形成金屬-氧鍵。在保護層中的金屬可部分地、或完全轉化成氧化物。在一些實施例中,係將保護層中至少90%的金屬轉化成金屬氧化物。在其他實施例中,係將保護層中實質上所有的金屬轉化成金屬氧化物。例如,在暴露至大氣之後,保護層中的鈷金屬可氧化成鈷氧化物。在其他實施例中,在已沉積零氧化態中的金屬之後,係在比大氣暴露更受控制的環境中經歷氧化處理以形成金屬氧化物。舉例來說,可選地在電漿的存在下使基板暴露至處理腔室中的含氧反應物(例如,O2 或O3 ),以形成例如鈷氧化物的金屬氧化物。
圖1B中所顯示的是在形成保護層之後所得到的結構。在所繪示的實施例中,保護層111係保形地形成在銅晶種層109上方,並且覆蓋在場區域中、凹陷特徵部103的側壁上、以及凹陷特徵部103之底部處的銅晶種層109。在一些實施例中,所形成的保護層111具有介於約1-20 nm之間的厚度。在寬度約為20 nm或更小的窄特徵部中,保護層通常具有小於約3 nm的厚度,例如介於約1-2 nm之間。在一特定示例中,將厚度介於約1-2 nm之間的鈷保護層沉積在厚度介於約2-3 nm之間的銅晶種層上方。存在於銅晶種層上方的保護層可實質上阻隔銅晶種層與大氣接觸,而避免或減少銅晶種層的氧化。
接下來,在操作207中,將具有暴露保護層的基板與電鍍溶液接觸而溶解該保護層。此步驟係在電鍍的最初階段期間於電鍍設備中進行。舉例來說,可將具有暴露保護層的基板與酸性銅電鍍溶液接觸,其中該保護層包括金屬氧化物(例如,鈷氧化物、鐵氧化物、鋅氧化物、或錫氧化物)、及/或可溶於酸中的零氧化態金屬(例如,鈷、鐵、鋅、或錫)。銅電鍍溶液包括銅鹽(例如,銅硫酸鹽及/或銅甲磺酸鹽)、以及酸(例如,硫酸或甲基磺酸)、以及有助於填充凹陷特徵部的可選添加劑(例如,鹵化物、加速劑、抑制劑、與均勻劑)。在一些實施例中,在與電解液進行最初接觸的期間係並未將基板電性偏壓。例如,可在最初接觸之後將基板進行約一秒或更少(例如,0.1-1秒)的陰極偏壓。此方法是稱作「冷輸入」(cold entry)並且在一些實施例中係較佳的,原因在於它不會延宕保護層的溶解。在一些實施例中,可在與電解液進行最初接觸的期間將基板進行陰極偏壓。舉例來說,在一些實施例中係使用恆定電位基板輸入(potentiostatic substrate entry),其中在最初暴露至電解液的期間將基板保持在恆定的電位。將偏壓進行選擇使其不會完全阻止保護層的溶解。在一些實施例中,在與電解液進行最初接觸的期間係溶解至少90%的保護層。在一些實施例中,於此步驟期間實質上係溶解所有的保護層材料,並暴露銅晶種層。在圖1C中顯示保護性晶種層溶解之後所得到的結構。在此圖式中,係將保護層111完全移除,且在此時將下伏的銅晶種層109暴露至電解液。
在操作209中,將金屬進行電鍍以填充半導體基板上的至少一凹陷特徵部。舉例而言,可利用銅來填充凹陷特徵部。操作207及209通常係在一電鍍設備中執行,其中保護層111的溶解係緊鄰在填充特徵部之前。通常,用於溶解保護性晶種層、以及利用金屬來電填充凹陷特徵部的電解液實質上係具有相同組成。舉例而言,透過將已陰極偏壓的基板與包含銅鹽、酸、及可選電鍍添加劑的電解液接觸,以將銅電沉積在凹陷特徵部中。在一些實施例中,較佳的是在電鍍期間、或是在複數基板上的電鍍操作之間將部分電解液從電鍍腔室移除,並且以新鮮的補充液來補充電解液。這種電解液的放出與饋給(bleed-and-feed)有效地在保護層溶解之後降低進入電解液的金屬離子濃度。然而,來自保護層材料的金屬離子量往往很少,且這些離子在電填充期間通常不會造成有害的影響。例如,在將銅電沉積至凹陷特徵部中的期間,在電解液中可存在微小含量的鈷離子。
圖1D中係顯示在已完成電填充之後所形成的結構,其中顯示將凹陷特徵部填充、並且在場區域中形成覆蓋層(overburden)的電沉積金屬層113。使用保護層可在進行鑲嵌處理的半導體基板中大幅減低缺陷(例如,側壁附近的空隙)的數量、或消除這樣的缺陷。當在寬度小於約20 nm或小於約15 nm的窄特徵部中執行電鍍時,這種優點是特別顯著的。
在圖1A~1D所繪示的實施例中,係將保護層保形地沉積使其在凹陷特徵部的側壁處對銅晶種層進行塗覆。在替代性實施例中,可將保護層沉積作為將凹陷特徵部進行密封的栓塞(plug),進而避免銅晶種層與大氣接觸。此實施例係繪示於圖3A~3D中。此實施例特別適合用於對具有非常窄的凹陷特徵部的基板進行處理,原因在於要將金屬保形沉積在這種窄特徵部中係困難的,其中非常窄的凹陷特徵部例如係寬度為5 nm或更少(例如,約為3 nm或更少)的特徵部。圖3A中顯示的基板具有與關於圖1A所述的基板相似的結構。如圖3B所顯示,將基板放置在沉積腔室中並且非保形地形成保護層111,使其覆蓋場區域以及凹陷特徵部103的開口,而不覆蓋凹陷特徵部的側壁。在凹陷特徵部103中會形成空隙,其中該空隙是透過覆蓋著凹陷特徵部之開口的保護層111而與大氣隔絕。較佳地,係實行保護層的沉積使銅晶種層不接觸大氣,而因此在凹陷特徵部內側的空隙不會被空氣所填充。這種配置能夠保護側壁處的銅晶種層免於氧化。接下來,可將基板暴露至大氣且保護層111中的金屬可與氧反應以形成金屬氧化物。這種轉變不會影響側壁處的銅晶種層,其仍然受到保護免與空氣接觸。
接下來,將基板與電鍍溶液接觸,並溶解保護層111使銅晶種層顯露於電鍍溶液。如圖3C中所顯示,得到的結構不再具有保護層。接著,將金屬(例如,銅)電鍍至凹陷特徵部中以形成如圖3D所顯示的結構。保護層的溶解以及銅的電沉積可在時間上為重疊的。
在一些實施例中,保護層的金屬為鈷。鈷比銅較不惰性,並且它可在不會實質影響下伏銅晶種層之品質的情況下於空氣中氧化。此外,鈷是用於濕潤層的合適金屬,其中該濕潤層係位於擴散阻障層與銅晶種層之間的堆疊中。因此,將鈷使用於堆疊中的保護層且該堆疊亦利用鈷濕潤層對於沉積效率係有幫助的,原因在於可將相同方法及/或處理腔室用於沉積濕潤層與保護層。
Cu/Cu2+ 與Co/Co2+ 對的標準平衡電位之比較揭示了鈷(Co)具有較小的標準平衡電位,且因此當它與銅(Cu)接觸時可進行伽凡尼腐蝕(galvanic corrosion)。對於在2到10範圍內的所有pH值,Cu與Co之間的斷路電位(open circuit potential)差約為200至300 mV。由於在酸性溶液中鈷與銅之間的腐蝕電位差,鈷展現了高的腐蝕性與溶解速率、以及伽凡尼腐蝕的高可能性。因此,鈷膜將進行伽凡尼腐蝕以保護銅晶種層免於腐蝕,直到所有的鈷都被氧化。
在圖4中顯示使用鈷保護層的實施例之處理圖。在操作401中,透過PVD將銅晶種層沉積在基板上。在一些實施例中,在進行銅晶種層的沉積之前,係將鈷濕潤層預沉積在擴散阻障材料上並將銅晶種層沉積在鈷上。該沉積係在PVD腔室中執行,且在沉積期間或是緊接在沉積後並不會使基板暴露至大氣。將基板放置在PVD腔室中的支撐件上,並且將例如氬的處理氣體引導至處理腔室中,其中該PVD腔室儲藏著銅靶材(或是由合適的銅合金製成的靶材)。將銅濺鍍在基板上,使得銅晶種層如圖1A所顯示地將基板進行塗覆。接下來,在操作403中,在不將基板暴露至大氣的情況下,透過使含鈷前驅物進行反應以形成鈷金屬,而將鈷保護層沉積在銅晶種層上方。該沉積可透過CVD(其中反應係在處理腔室中整體地進行)或透過ALD(其中反應係在基板的表面上進行)來進行。本文中所使用的術語CVD及ALD係均包括熱及電漿輔助沉積兩者。當已沉積鈷之後,在操作405中,係將基板暴露至大氣以在保護層中形成鈷氧化物。或者,在處理腔室中利用含氧反應物(例如,利用在含氧氣體中形成的遠端電漿)對基板進行處理,以可控制地形成鈷氧化物。接下來,在操作407中,將基板與電鍍溶液接觸使保護層(鈷氧化物、以及若存在鈷金屬)溶解,進而暴露銅晶種層。在操作409中,將銅電鍍在基板上。
在所述方法中用於進行層沉積的處理條件及沉積方法係可改變的,並且可取決於基板的類型、凹陷特徵部的尺寸等等。在一些實施例中,銅晶種層與保護層係在一工具或模組中進行沉積,以允許在沉積銅晶種層之後且在沉積保護層之前不會使基板暴露至大氣的情況下來進行這些層的沉積。
鈷可透過CVD、ALD、或PVD方法來進行沉積。在一些實施例中,鈷係透過ALD或CVD方法以保形地沉積在特徵部中。在CVD方法中,係將基板暴露至合適的含鈷前驅物及還原劑以在基板上形成鈷層。溫度可介於約70°C與約400°C之間、或是介於約80°C與約200°C之間。在一些實施例中,溫度可介於約70°C與約200°C之間、或是介於約100°C與約120°C之間。腔室壓力可約為0.1托至約10托、或介於約1托與約5托之間。在一些實施例中,腔室壓力可介於約0.5托與約10托之間、或是介於約1托與約3托之間。在各種實施例中,使用例如氬(Ar)、氮(N2 )、或一氧化碳(CO)的載體氣體以將合適的含鈷前驅物、及/或還原劑導引至腔室內。在一些實施例中,係使用氬作為載體氣體來將含鈷前驅物導引至腔室。載體氣體的流量可介於約10 sccm與約300 sccm之間、或是介於約10 sccm與約50 sccm之間。在一些實施例中,載體氣體的流量可介於約10 sccm與約100 sccm之間、或是介於約10 sccm與約30 sccm之間。還原劑可為用於將所選定的含鈷前驅物還原的任何合適反應物。在各種實施例中,還原劑為氫(H2 )。還原劑可在介於約100 sccm與約5000 sccm之間、或介於約2000 sccm與約5000 sccm之間的流量下進行導引。將能理解的是,取決於特定的沉積腔室,可使用本揭露整篇中所提供的範圍之外的流量。
在ALD方法中,可將基板循環地進行暴露,使基板首先暴露至合適含鈷前驅物的脈衝,接著將前驅物吹淨(purge),接著將基板暴露至還原劑的脈衝,並接著將還原劑吹淨,並且可重複進行這樣的循環直到在基板上形成期望厚度的鈷。對於透過ALD的沉積處理,溫度可介於約70°C與約400°C之間、或是介於約100°C與約200°C之間。在一些實施例中,溫度可介於約70°C與約200°C之間、或是介於約100°C與約120°C之間。壓力可介於約1托與約20托之間、或介於約8托與約15托之間。在各種實施例中,係使用例如Ar、N2 、或CO的載體氣體以將含鈷前驅物及/或還原劑導引至腔室內。在一些實施例中,係使用Ar作為載體氣體來將含鈷前驅物導引至腔室。載體氣體的流量可介於約10 sccm與約300 sccm之間、或是介於約10 sccm與約100 sccm之間。在一些實施例中,載體氣體的流量可介於約50 sccm與約100 sccm之間。還原劑可為用於將所選定的含鈷前驅物還原的任何合適反應物。在各種實施例中,還原劑為H2 。還原劑可在介於約100 sccm與約5000 sccm之間、或介於約2000 sccm與約5000 sccm之間的流量下進行導引。操作的終止時間係取決於特徵部的尺寸。
含鈷前驅物的示例包括二羰基環戊二烯基鈷(I)、羰基鈷、各種脒基(amidinate)鈷前驅物、重氮二烯基(diazadienyl)鈷錯合物、脒基/胍基(guanidinate)鈷前驅物、以及其組合。合適的含鈷前驅物可包括具有有機基團及/或羰基團的鈷中心,其中有機基團包括例如甲基、乙基、丙基、丁基、戊基、己基、庚基、與辛基的烷基,且該烷基可為直線或分枝的碳氫鏈。在一些實施例中,有機金屬化合物具有取代或未取代的烯丙基(allyl)配位基。在一些實施例中,烯丙基配位基係未取代的。
在一些實施例中,有機金屬鈷化合物具有以下結構:
Figure 02_image001
其中R1 為C1 -C8 -烷基,R2 為C1 -C8 烷基,x 為0、1、或2;而y為 0 或 1。
在一些實施例中,R1 為C2 -C8 -烷基,R2 為獨立的C2 -C8 烷基。
本文中所使用的術語「烷基」是指長度為1至8個原子的飽和碳氫鏈,例如甲基、乙基、丙基、丁基、戊基、己基、庚基、與辛基。術語「烷基」包括直線或分枝的碳氫鏈兩者。因此,術語丙基均包括正丙基與異丙基。術語丁基均包括正丁基、二級丁基、異丁基、與三級丁基。
在一些實施例中,x為0且y為1。符合此實施例的有機金屬化合物的示例顯示如下:
Figure 02_image003
某些所描述的化合物可取得自SAFC-Hitech of Haverhill, MA,連同相應的沉積設備可取得自Lam Research Inc., of Fremont, CA。在已形成鈷保護層之後,係將基板暴露至大氣並傳輸至電鍍設備。
金屬的電沉積係在電鍍設備中進行,其中該設備包括配置用於容納電解液與陽極的電鍍腔室。該設備更包括基板支架,該基板支架可配置以在電鍍期間轉動基板並通常包括與電源電連通的複數電接觸。該設備係配置以在電沉積期間將基板陰極偏壓。電鍍腔室可包括用於添加及移除電解液的入口與出口,例如用以提供電解液的放出與饋給補充。電解液為包括金屬離子的水溶液,且通常為酸。
可在任何合適的電解液中執行銅的電沉積以填充凹陷特徵部,其中該電解液包括銅離子且較佳為酸(例如,硫酸、甲基磺酸、或這些酸的混合)。電解液可更包括促進由下而上填充的添加劑,例如鹵離子、抑制劑、加速劑、與均勻劑。在一些實施例中,較佳的是使用具有低銅離子濃度的電解液來電鍍銅。在一實施態樣中,本文的實施例提供一種將銅電鍍在鑲嵌特徵部中的方法,包括:接收一基板,該基板具有被保護性鈷層所覆蓋的銅晶種層;將該基板浸入水相的低銅含酸電解液中,該電解液具有小於約10克/升的銅離子、以及酸性pH;以及將該基板進行電性偏壓。在一些實施例中,係在基板首先接觸電解液之後將該基板進行陰極偏壓。保護性鈷層溶解在酸性電解液中,且銅被電鍍在銅晶種層上。
在一些實施例中,低銅電解液包括至少一抑制劑化合物。雖然不希望受到任何作用的理論或機制所限制,但據信抑制劑(其獨自、或結合其他浴添加劑)為表面-動力極化的化合物,造成在橫跨基板-電解液介面的電壓降大幅度上升,尤其是在結合表面化學吸附的鹵化物(例如,氯化物或溴化物)而存在時。鹵化物可作用為抑制劑分子與晶圓表面之間的橋梁。(1)相對於不存在抑制劑的區域,在存在著抑制劑的區域處抑制劑提高基板表面的局部極化;以及(2)抑制劑整體地提高基板表面的極化。提高的極化(局部及/或整體)對應提高的電阻率/阻抗,並因此在特定施加的電位下進行較緩慢的電鍍。
據信抑制劑不會被吸收至所沉積的膜中,然而它們可能會隨著時間而緩慢降解。抑制劑通常是相對較大的分子,且在許多情況下它們為自然界中的聚合物(例如,聚環氧乙烯、聚環氧丙烯、聚乙二醇、聚丙二醇等)。抑制劑的其他示例包括具有含S及/或含N官能基的聚環氧乙烯及聚環氧丙烯、聚環氧乙烯及聚環氧丙烯的嵌段聚合物(block polymer)等等。抑制劑可具有線性鏈結構或分枝結構。通常在商業上的抑制劑溶液中係共存各種分子量的抑制劑分子。某種程度上由於抑制劑的巨大尺寸,這些化合物擴散進入凹陷特徵部係相對緩慢的。
在一些實施例中,該方法涉及在約為3毫安/公分2 或更少的電流密度下將銅電鍍至特徵部內。在某些實施例中(例如,當使用低的銅濃度時),電解液可包括介於約2-15克/升之間的酸、或介於約5-10克/升之間的酸。在一些實行例中,電解液的pH可介於約0.2-2之間。電解液還可包括介於約10-500毫克/升之間的活性有機添加劑。在一些實行例中,活性有機添加劑可包括一或更多加速劑化合物。加速劑的濃度可小於約20毫克/升、或小於約10毫克/升。在某些情況下,活性有機添加劑包括一或更多均勻劑化合物。在一些實行例中,電解液包括小於約5克/升的銅離子。此外,電解液可包括介於約10-150毫克/升之間的鹵離子。在某些實施例中,當將基板進行浸泡時可將基板相對於電解液的表面呈一角度而進行浸泡,並接著將其水平地定向。電鍍操作可包括在第一電鍍階段期間將銅進行電鍍,以在第一沉積速率下利用銅來填充基板特徵部;以及在第二電鍍階段期間將銅進行電鍍,以在高於第一沉積速率的第二沉積速率下在基板上沉積銅的覆蓋層。該電鍍方法還可包括在基板上執行後電鍍處理。在某些實行例中,後電鍍處理包括將基板進行清洗、及/或平整化。 實驗結果
在沉積擴散阻障之後且在沉積銅晶種之前,係將銅電沉積在具有複數溝槽的晶圓基板上,其中該等溝槽具有約為10 nm的寬度。該等溝槽係形成在介電層中,其中用包含TaN擴散阻障層(以PVD沉積之厚度為3 nm的TaN)、鈷濕潤層(以CVD沉積之厚度為1 nm的鈷金屬)、以及銅晶種層(以PVD進行沉積之厚度為2-3 nm的CuMn合金或Cu)的堆疊給介電質加上襯墊。
在示例2、3、及4中,在不將銅晶種層暴露至大氣的情況下藉由CVD將厚度為1 nm的鈷層沉積在銅晶種層上方。接著將基板暴露至大氣而允許鈷氧化。
在示例5、6、及7中,在不將銅晶種層暴露至大氣的情況下藉由CVD將厚度為2 nm的鈷層沉積在銅晶種層上方。接著將基板暴露至大氣而允許鈷氧化。
電沉積係在包含2克/升之銅離子、10克/升之硫酸、50 ppm之氯離子、加速劑、抑制劑、及均勻劑的電解液中進行。
示例1(比較例)。電鍍係在不具有保護性鈷層、並且包含暴露鈷晶種層(CuMn合金)的基板上執行。在恆定電位輸入的條件下將基板浸入電解液中,並且在2.6毫安/公分2 的電流密度下對凹陷特徵部進行填充。在填充的顯微影像中觀察到複數空隙。
示例2(1 nm的鈷保護層,恆定電位輸入)。電鍍係在具有1 nm厚之保護性鈷層的基板上執行,其中該保護性鈷層係形成在銅晶種層(Cu)上方。在恆定電位輸入的條件下將基板浸入電解液中,並且在2.0毫安/公分2 的電流密度下對凹陷特徵部進行填充。與示例1相比,觀察到空隙的數量顯著減少。
示例3(1 nm的鈷保護層,斷路電位(OCP)輸入)。電鍍係在具有1 nm厚之保護性鈷層的基板上執行,其中該保護性鈷層係形成在銅晶種層(Cu)上方。在OCP的條件(不將基板偏壓)下將基板浸入電解液中,在1秒之後將基板偏壓,並且在2.0毫安/公分2 的電流密度下對凹陷特徵部進行填充。填充的品質係與示例2類似。
示例4(1 nm的鈷保護層,斷路電位(OCP)輸入)。電鍍係在具有1 nm厚之保護性鈷層的基板上執行,其中該保護性鈷層係形成在銅晶種層(Cu)上方。在OCP的條件下將基板浸入電解液中。在2秒之後將基板偏壓,並且在2.0毫安/公分2 的電流密度下對凹陷特徵部進行填充。在填充的顯微影像中觀察到複數空隙。填充的品質比示例2及示例3差。
示例5(2 nm的鈷保護層,恆定電位輸入)。電鍍係在具有2 nm厚之保護性鈷層的基板上執行,其中該保護性鈷層係形成在銅晶種層(Cu)上方。在恆定電位輸入的條件下將基板浸入電解液中,並且在2.0毫安/公分2 的電流密度下對凹陷特徵部進行填充。與示例1相比,觀察到空隙的數量顯著減少。填充的品質比示例2更佳。
示例6(2 nm的鈷保護層,斷路電位(OCP)輸入)。電鍍係在具有2 nm厚之保護性鈷層的基板上執行,其中該保護性鈷層係形成在銅晶種層(Cu)上方。在OCP的條件下將基板浸入電解液中。在1秒之後將基板偏壓,並且在2.0毫安/公分2 的電流密度下對凹陷特徵部進行填充。填充的品質係與示例5類似。
示例7(2 nm的鈷保護層,斷路電位(OCP)輸入)。電鍍係在具有2 nm厚之保護性鈷層的基板上執行,其中該保護性鈷層係形成在銅晶種層(Cu)上方。在OCP的條件下將基板浸入電解液中。在2秒之後將基板偏壓,並且在2.0毫安/公分2 的電流密度下對凹陷特徵部進行填充。在填充的顯微影像中觀察到複數空隙,但空隙的數量少於示例1。填充的品質比示例5及示例6差。
在使用鈷保護層的示例中清楚觀察到側壁附近之銅填充的改善。當使用較厚的鈷層(2 nm)時係有較大的改善。對於使用鈷保護層的基板,使用恆定電位輸入以及OCP輸入(1秒)的電鍍產生相似的良好結果。較長的OCP時間(2秒)會劣化側壁的整體性。 設備
所提供之方法的各種步驟可在PVD、CVD、ALD、及電沉積設備中實施。舉例而言,銅晶種層的PVD沉積可在具有處理腔室的PVD設備中執行,其中該處理腔室係配置以容納銅靶材以及基板支撐件。鈷濕潤層及鈷保護層可在CVD或ALD設備中進行沉積,例如能從Lam Research Corporation, Inc取得的Altus® 工具。銅的電沉積可在能從Lam Research Corporation, Inc取得的Sabre® 工具中進行。在一些實施例中,銅晶種層的沉積以及保護層的沉積係在單一模組中執行,其中該單一模組係配置以不會在這些沉積之間將基板暴露至大氣的情況下執行PVD及CVD。例如,設備可包括:PVD處理腔室,配置以進行銅的沉積;以及CVD或ALD處理腔室,配置以進行鈷的沉積,其中該設備允許在不具空氣打斷的情況下使基板在銅沉積腔室與鈷沉積腔室之間傳輸。
在一些實施例中,提供一種設備,其中該設備包括:一或更多處理腔室(例如,一或更多PVD、CVD、ALD、或電鍍處理腔室);以及控制器,包括用於執行本文中所提供之任何方法的程式指令。舉例來說,設備可包括:PVD、CVD、及/或ALD處理腔室;以及包括程式指令的控制器,其中所述程式指令係用於使保護層沉積在半導體基板上的銅晶種層上方,且該保護層包括比銅較不惰性的金屬。例如,控制器可包括藉由CVD或ALD來沉積鈷的指令。
保護層的沉積可在PVD、CVD、或ALD處理腔室的任一者中執行,其中每一者係可選地包括用於產生電漿的配備。這樣的腔室可為許多形式,並可為包括一或更多腔室或反應器(有時包括複數個站)的設備之一部分,其中該一或更多腔室或反應器可各自容納一或更多基板或晶圓,並可配置以執行各種基板處理操作。該一或更多腔室可將基板維持在所界定的一或更多位置中(具有或不具有在該位置中的運動,例如轉動、震動、或其他擾動)。在一實行例中,在處理期間,可將進行膜沉積的基板從腔室內的一站傳輸至另一站。在其他實行例中,可將基板在設備內的腔室之間傳輸以執行不同的操作,像是PVD操作及CVD操作。正在處理時,各基板可透過基座、基板卡盤、及/或其他基板固持設備而固持到位。對於某些需要加熱基板的操作,該設備可包括例如為加熱板的加熱器。
根據其中一實施例,圖5提供繪示各種反應器構件的簡易方塊圖,其中該等反應器構件係排列以實施保護層的CVD沉積。如圖所示,反應器500包括處理腔室524,該處理腔室係圍繞著反應器的其他構件並還配置以包含由電容式放電型系統所產生的電漿,其中該電容式放電型系統包括與接地的加熱區塊520共同運作的噴淋頭514。在一些實施例中,雖然在保護層的沉積期間不需要使用電漿,但保護層的CVD可在配置著電漿產生器的處理腔室中進行,原因在於可將電漿處理用於進行基板的前處理或後處理。在所繪示的處理腔室中,可將高頻(HF)射頻(RF)產生器504與低頻(LF)RF產生器502連接至匹配網路506及噴淋頭514。由匹配網路506所供應的功率及頻率可足以從供應至處理腔室524的處理氣體產生電漿。舉例來說,匹配網路506可提供100W至1000W的功率。在一些實施例中,可提供匹配網路506。在典型的處理中,HFRF構件通常可介於1 MHz至100 MHz之間,例如13.56 MHz。在存在著LF構件的操作中,LF構件可小於約1 MHz,例如100 kHz。在一些實行例中,鈷的CVD係在不使用電漿的情況下執行。
在反應器中,基座518可支撐基板516。基座518可包括卡盤、叉架部、升降銷(未顯示),以在沉積及/或電漿處理反應的期間或之間將基板固持及傳輸。卡盤可為靜電卡盤、機械卡盤、或如工業用及/或研究中可取得的各種其他類型卡盤。
各種處理氣體可透過入口512來進行導引。複數來源氣體管線510係連接至歧管508。可將氣體進行預混合或不進行預混合。適當的閥門及質量流量控制機構係可使用以確保在處理的沉積及電漿處理階段期間係輸送正確的氣體。在將化學前驅物以液體形式進行輸送的情況中,係可使用液體流量控制機構。接著,在到達沉積腔室之前,可使這樣的液體汽化,並且在輸送期間、在歧管中與製程氣體混合,該歧管被加熱至高於以液體形式供應之化學前驅物之汽化點。
例如含鈷前驅物或含氮氣體的處理氣體可透過出口522而離開腔室524。真空幫浦(例如,一或二級的機械乾燥幫浦、及/或渦輪分子幫浦526)係透過閉迴路控制的流量限制裝置(例如,節流閥、或鐘擺閥)而可用以將處理氣體抽出處理腔室524並在處理腔室524內維持適當的低壓。
如上所述,本文所述的沉積技術可實施在多站、或單站的工具上。圖6顯示這種工具的示例之示意圖。在特定的實行例中,可使用以處理200 mm、300、或450 mm之晶圓的工具。在各種實行例中,在每次沉積及/或後沉積處理之後可將基板進行分度、或是可在蝕刻步驟之後進行分度(若蝕刻腔室或站亦為相同工具之一部份)、或是在將基板進行分度之前可在單一站處進行複數沉積及處理。
在一些實施例中,可提供一種設備,其係配置以執行本文所述的技術。根據所揭露的實施例,合適的設備可包括:用於執行各種處理操作的硬體;以及系統控制器530,具有用於控制處理操作的指令。系統控制器530通常將包括與各種處理控制配備(例如,閥、RF產生器、基板搬運系統等)通信連接的一或更多記憶裝置與一或更多處理器,並係配置以執行指令使得該設備將會執行與所揭露之實施例相符的技術。可將包含指令的機器可讀媒體耦接至系統控制器530,其中所述指令係用於控制與本揭露相符的處理操作。控制器530可與各種硬體裝置(例如,質量流量控制器、閥、RF產生器、真空幫浦等)通信連接,以便於控制與本文所述的沉積操作相關聯的各種處理參數。
在一些實施例中,系統控制器530可控制反應器500的所有活動。系統控制器530可執行系統控制軟體,其中該系統控制軟體係儲存在大量儲存裝置中、載入至記憶裝置中、以及在處理器上執行。系統控制軟體可包括:複數指令,用於控制:氣流時間、基板移動、RF產生器的啟動等;以及複數指令,用於控制:氣體混合、腔室及/或站的壓力、腔室及/或站的溫度、基板溫度、目標功率層級、RF功率層級、基板基座、卡盤及/或承受器位置、以及由反應器設備500所執行的特定處理之其他參數。舉例來說,軟體可包括複數指令或編碼,用於控制:含鈷前驅物的流量、還原劑的流量、含氮氣體的流量、以及上述流動化學品之各者的暴露時間。系統控制軟體可透過任何合適的方式進行配置。舉例而言,可將各種處理工具構件的子程式或控制物件進行編寫,以對執行各種處理工具處理所需的處理工具構件之操作進行控制。系統控制軟體可在任何合適的電腦可讀編程語言中進行編碼。
控制器530通常可包括配置以執行指令的一或更多記憶裝置與一或更多處理器,使得該設備將會執行與所揭露之實施例相符的技術。可將包含指令的機器可讀媒體耦接至系統控制器530,其中所述指令係用於控制與本揭露相符的處理操作。
如上所述,在多站處理工具中可包括一或更多處理站。圖6顯示多站處理工具600之實施例的示意圖,該多站處理工具600具有入站(inbound)負載鎖室602以及出站(outbound)負載鎖室604,其中的一者或兩者可包括遠端電漿來源。處於大氣壓力下的機器人606係配置以將基板從透過傳送盒608進行裝載的晶舟通過大氣通口610進到入站負載鎖室602中。藉由機器人606而將基板放置在入站負載鎖室602中的基座612上,將大氣通口610關閉並且將負載鎖室進行抽氣。其中該入站負載鎖室602包括遠端電漿來源,在被導引至處理腔室614中之前可將基板暴露於負載鎖室內的遠端電漿處理。此外,還可在入站負載鎖室602中對基板進行加熱,而例如以移除濕氣以及吸附的氣體。接下來,開啟往處理腔室614的腔室傳輸通口616,且另一機器人(未顯示)將基板放入反應器中並位於在反應器中所顯示之第一站的基座上以進行處理。雖然在圖6中所繪示的實施例係包括負載鎖室,但將能理解的是,在一些實施例中,可提供將基板直接進入處理站中。
所繪示的處理腔室614包括四個處理站,在圖6中所顯示的實施例中係從1到4進行編號。各站具有加熱基座(顯示為站1的基座618)以及氣體管線入口。一些站可包括與上方參照圖5所描述的那些構件為類似之構件。將能理解的是,在一些實施例中,各處理站可具有不同、或複數用途。舉例來說,在一些實施例中,處理站可在ALD與CVD處理模式之間切換。另外地或替代性地,在一些實施例中,處理腔室614可包括ALD及CVD處理站的一或更多配對(matched pair)。在一些實施例中,處理腔室614可包括CVD及PVD站。在一些實施例中,可在一站中(例如,站1)藉由PVD將特徵部塗覆銅晶種層。接著,可在不具有空氣打斷的情況下將基板傳輸至相同腔室614內的第二站(例如,站2)或是不同腔室內的站,其中將基板暴露至含鈷前驅物與還原劑以藉由CVD或ALD來沉積保護性鈷層。
在一些實施例中,在基板進行鈷的熱沉積之後,係將基板傳輸至不同腔室且該不同腔室亦可包括各種站。雖然所繪示的處理腔室614包括四個站,但將能理解的是,根據本揭露的處理腔室可具有任何合適數量的站。舉例來說,在一些實施例中,處理腔室可具有五或更多站;而在其他實施例中,處理腔室可具有三或更少站。
圖6繪示晶圓搬運系統690的實施例以在處理腔室614傳輸晶圓。在一些實施例中,晶圓搬運系統690可在各種處理站之間、及/或在處理站與負載鎖室之間傳輸晶圓。將能理解的是,可使用任何合適的晶圓搬運系統。非限制性的示例包括晶圓旋轉料架(carousel)及晶圓搬運機器人。圖6還繪示系統控制器650的實施例,該系統控制器650係使用以控制處理工具600的處理條件與硬體狀態。系統控制器650可包括一或更多記憶裝置656、一或更多大量儲存裝置654、以及一或更多處理器652。處理器652可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器650控制著處理工具600的所有活動。系統控制器650執行系統控制軟體658,該系統控制軟體658係儲存在大量儲存裝置654中、載入至記憶裝置656中、以及在處理器652上執行。或者,可將控制邏輯硬編碼至控制器650中。特殊應用積體電路、可編程邏輯裝置(例如,場域可編程閘極陣列、或FPGA)等可為了這些用途而使用。下列討論中,無論在何處使用「軟體」或「編碼」,均可在該處使用功能性相當的硬編碼邏輯。系統控制軟體658可包括複數指令,用於控制:時機、氣體混合、次飽和(sub-saturated)氣流量、腔室及/或站的壓力、腔室及/或站的溫度、晶圓溫度、目標功率層級、RF功率層級、基板基座、卡盤及/或承受器位置、以及由處理工具600所執行的特定處理之其他參數。系統控制軟體658可透過任何合適的方式進行配置。舉例而言,可將各種處理工具構件的子程式或控制物件進行編寫,以對執行各種處理工具處理所需的處理工具構件之操作進行控制。系統控制軟體658可在任何合適的電腦可讀編程語言中進行編碼。
在一些實施例中,系統控制軟體658可包括用於控制上述各種參數的輸入/輸出控制(IOC)序列指令。在一些實施例中,可使用儲存在與系統控制器650相關的大量儲存裝置654及/或記憶裝置656上的其他電腦軟體及/或程式。為了此目的的程式、或程式部分的示例包括基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包括處理工具構件所用的程式編碼,用以將晶圓裝載至基座618上並且控制晶圓與處理工具600的其他部件之間的間距。
處理氣體控制程式可包括編碼,用於控制氣體組成(例如,如本文所述之含鈷前驅物、還原劑、含氮氣體)及流量,並且在沉積之前可選地用於將氣體流入一或更多處理站中以穩定該處理站內之壓力。壓力控制程式可包括編碼,用於例如透過調節處理站之排氣系統內的節流閥、進入該處理站內的氣流等等,以控制該處理站內之壓力。
在一些實施例中,控制器650為系統的一部分,其可為上述示例的一部份。這樣的系統可包括半導體處理配備,包括一或更多處理工具、一或更多像是腔室614的腔室、一或更多的處理用平台、及/或特定處理構件(晶圓基座、氣體流量系統等)。這些系統可與在處理半導體晶圓或基板之前、期間、及之後控制它們的操作之電子元件整合在一起。所述電子元件可稱為「控制器」,其可控制一或更多系統的各種構件或子部件。取決於處理需求及/或系統類型,可將控制器650進行編程以控制本文揭露的任何處理,包括處理氣體的運輸、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流量設定、流體運輸設定、位置及操作設定、晶圓對於工具、其他傳輸工具、及/或與特定系統連接或接合之負載鎖室的傳入及傳出。
廣義而言,可將控制器650界定為具有各種積體電路、邏輯、記憶體、及/或軟體的電子裝置,以接收指令、發出指令、控制操作、准許清潔操作、准許端點量測等。所述積體電路可包括以韌體形式儲存程式指令的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如,軟體)的一或更多微處理器或微控制器。程式指令可係以各種獨立設定(或是程式檔案)的形式而與控制器650通信的指令,而定義出用於在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施例中,操作參數可為由製程工程師所定義之配方的一部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的加工期間達成一或更多處理步驟。舉例來說,參數可包括含鈷前驅物的氣體流量、還原劑的氣體流量、載體氣體流量、含氮氣體流量、電漿功率與頻率、基座溫度、站或腔室的壓力及/或溫度等等。
在一些實行例中,控制器650可為電腦的一部分或耦接至電腦,所述電腦係整合並耦接至所述系統,不然就係以網路連接至所述系統,或是其組合。例如,控制器650可位於「雲端」、或FAB主電腦系統的全部或一部分而可允許對基板處理的遠端存取。電腦可准許對系統的遠端存取能夠監控加工操作的當前進程、檢視過去加工操作的歷史、檢視來自複數加工操作的趨勢或性能度量、變更當前處理的參數、設定當前處理之後的處理步驟、或是開始新的處理。在一些示例中,遠端電腦(例如,伺服器)可透過網路向系統提供處理配方,其中該網路可包括區域網路、或網際網路。遠端電腦可包括使用者介面而能夠對參數及/或設定進行輸入或編寫,所述參數及/或設定則接著從該遠端電腦傳送至系統。在一些示例中,控制器650接收數據形式的指令,所述指令係在一或更多操作期間待執行之每一處理步驟的特定參數。應當理解的是,所述參數可特定於待執行的處理類型,及控制器所配置以連接或控制的工具類型。因此,如上所述,控制器650可例如藉由包括一或更多離散控制器而進行分佈,所述離散控制器係彼此以網路連接且朝向共同的目的(例如本文所述的步驟與控制)而運作。為了此目的所分佈的控制器650示例將係位於腔室上的一或更多積體電路,其與遠端設置(例如,位於平台層或作為遠端電腦的一部分)、且結合以控制腔室上之步驟的一或更多積體電路通信。
不具限制地,示例性系統可包括電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-清洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、晶邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、或可有關於或使用於半導體晶圓之加工及/或製造中的其他半導體處理系統。
如上所述,取決於工具所待執行的一或更多處理步驟,控制器可通信至一或更多其他工具電路或模組、其他工具構件、例如為工具600的群集式工具、其他工具介面、相鄰工具、鄰近工具、遍布於工廠的工具、主電腦、另一控制器650、或材料輸送中所使用的工具,而將晶圓的容器帶進及帶出半導體製造工廠的工具位置及/或裝載通口。
加熱器控制程式可包括用於控制往加熱單元之電流的編碼,該加熱單元係用以加熱基板。或者,加熱器控制程式可控制熱傳輸氣體(例如,氦)往晶圓的傳輸。
電漿控制程式可包括編碼,用於根據本文的實施例以對施加至一或更多處理站內的處理電極之RF功率層級進行設定。
壓力控制程式可包括用於根據本文的實施例以維持反應腔室內之壓力的編碼。
在一些實施例中,可存在與系統控制器650相關的使用者介面。使用者介面可包括顯示螢幕、設備及/或處理條件的圖像軟體顯示器、以及例如指向裝置、鍵盤、觸碰螢幕、麥克風等的使用者輸入裝置。
在一些實施例中,由系統控制器650所調整的參數可與處理條件有關。非限制性的示例包括處理氣體的組成及流量、溫度、壓力、電漿條件(例如,RF偏壓功率層級)、壓力、溫度等。這些參數可透過配方的形式來提供至使用者,該配方可應用使用者介面來進行輸入。
透過來自各種處理工具感測器之系統控制器650的類比及/或數位輸入連接,可提供用於監控處理的複數信號。用於控制處理的該等信號可輸出在處理工具600的類比及數位輸出連接上。可進行監控之處理工具感測器的非限制性示例包括質量流量控制器、壓力感測器(例如,壓力計)、熱電耦等。適當編程後的回饋及控制演算法可與來自這些感測器的數據一起使用以維持處理條件。
控制器650可提供用於實施上述沉積處理的程式指令。所述程式指令可控制各種處理參數,像是DC功率層級、RF偏壓功率層級、壓力、溫度等。所述指令可控制該等參數以根據本文所述的各種實施例來操作膜堆疊的原位沉積。
控制器通常將包括一或更多記憶裝置與配置以執行指令的一或更多處理器,使得該設備將執行與本實施例相符的方法。可將包含指令的機器可讀媒體耦接至該控制器,所述指令係用於控制與本實施例相符的處理操作。
圖7為處理系統的方塊圖,該處理系統係適合用於執行根據某些實施例的膜沉積處理。舉例來說,該系統係適合用於沉積保護層,以及沉積銅晶種層、濕潤層、與擴散阻障層之中的一或更多者。在一些實施例中,這些層全部係在所繪示的系統中進行沉積。系統700包括傳輸模組703。傳輸模組703提供乾淨且加壓的環境,以最小化正在處理的基板在各種反應器模組之間移動時受到汙染的風險。安裝在傳輸模組703上的是兩個多站反應器709與710,每一者能夠根據某些實施例執行原子層沉積(ALD)及/或化學氣相沉積。在一些實施例中,處理系統更包括能夠執行PVD的反應器。反應器709與710可包括複數站711、713、715、及717,可依序或不依序執行根據所揭露之實施例的操作。該等站可包括加熱基座或基板支撐件、一或更多氣體入口或噴淋頭或擴散板。
亦安裝在傳輸模組703上的可為一或更多的單一或多站模組707,能夠執行電漿或化學(非電漿)預清潔、或是與所揭露之方法相關描述的任何其他處理。在一些情況下,模組707可用於各種處理例如以準備沉積處理所用的基板。還可將模組707設計/配置以執行各種其他處理,例如蝕刻或研磨。系統700還包括在處理前後儲存著晶圓的一或更多晶圓來源模組701。位在大氣傳輸腔室719中的大氣機器人(未顯示)可率先將晶圓從來源模組701移動至負載鎖室721。位在傳輸模組703中的晶圓傳輸裝置(通常為機器手臂單元)將晶圓從負載鎖室721移動至安裝在傳輸模組703上的模組、以及在安裝於傳輸模組703上的模組之中移動。
在各種實施例中,在沉積期間係使用系統控制器729來控制處理條件。控制器729通常將包括一或更多記憶裝置與一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
控制器729可控制沉積設備的所有活動,並可與控制器650進行類似的配置。
本文所述的設備/處理可與例如用於加工或製造半導體裝置、顯示器、LED、光伏面板等等的微影圖案化工具或處理聯合使用。通常,雖然並非必須,但這樣的工具/處理將會在公共加工設施中一起使用或實行。膜的微影圖案化通常包括下列的一些或所有操作,其中各操作能夠以許多可行的工具來進行:(1)使用旋塗式(spin-on)或噴塗式(spray-on)工具以在工件(即,基板)上施加光阻;(2)使用加熱板、或冶爐、或UV固化工具使光阻固化;(3)利用例如為晶圓步進機的工具使光阻暴露至可見光、或UV光、或X光;(4)將光阻顯影以選擇性地移除光阻,從而使用例如為濕式工作檯的工具將其進行圖案化;(5)透過使用乾式或電漿輔助蝕刻工具以將光阻圖案轉移至下伏的膜或工件中;(6)使用例如為RF或微波電漿光阻剝離器的工具以將光阻移除。
101:介電層 103:凹陷特徵部 105:擴散阻障層 107:濕潤層 109:銅晶種層 111:保護層 113:電沉積金屬層 201,203,205,207,209:操作 401,403,405,407,409:操作 500:反應器 502:低頻(LF)射頻(RF)產生器 504:高頻(HF)射頻(RF)產生器 506:匹配網路 508:歧管 510:來源氣體管線 512:入口 514:噴淋頭 516:基板 518:基座 520:加熱區塊 522:出口 524:處理腔室 526:渦輪分子幫浦 530:控制器 600:多站處理工具 602:入站負載鎖室 604:出站負載鎖室 606:機器人 608:傳送盒 610:大氣通口 612:基座 614:處理腔室 616:腔室傳輸通口 618:基座 650:系統控制器 652:處理器 654:大量儲存裝置 656:記憶裝置 658:系統控制軟體 690:晶圓搬運系統 700:系統 701:晶圓來源模組 703:傳輸模組 707:模組 709,710:反應器 711,713,715,717:站 719:大氣傳輸腔室 721:負載鎖室 729:系統控制器
圖1A-1D係根據本文所提供的實施例而顯示在處理期間半導體基板的橫剖面示意圖。
圖2係根據本文所提供的實施例而用於處理的處理流程圖。
圖3A-3D係根據本文所提供的實施例而顯示在處理期間半導體基板的橫剖面示意圖。
圖4係根據本文所提供的實施例而用於處理的處理流程圖。
圖5係根據本文所提供的實施例的處理腔室之示意圖,該處理腔室係適合用於保護層的沉積。
圖6係根據所揭露的實施例的處理設備之示意圖,該處理設備係適合用於沉積處理。
圖7係根據本文所提供的實施例的多站處理系統之示意圖。
401,403,405,407,409:操作

Claims (26)

  1. 一種半導體基板的處理方法,該方法包括: (a)提供一半導體基板,其中所提供的該半導體基板具有至少一凹陷特徵部,並且包括至少位在該至少一凹陷特徵部的複數側壁上之暴露的一銅晶種層;及 (b)在該銅晶種層上方形成一保護層,其中該保護層包括比銅較不惰性(less noble)的金屬。
  2. 如請求項1之半導體基板的處理方法,其中該保護層包括一金屬,該金屬係選自於由鈷、錫、鋅、與鐵所組成的群組。
  3. 如請求項1之半導體基板的處理方法,其中該保護層為鈷層。
  4. 如請求項1之半導體基板的處理方法,其中(b)包括使用化學氣相沉積(CVD)或原子層沉積(ALD)來形成鈷保護層。
  5. 如請求項1之半導體基板的處理方法,其中(b)包括使用物理氣相沉積(PVD)來形成鈷保護層。
  6. 如請求項1之半導體基板的處理方法,其中(a)包括沉積該銅晶種層,且(b)包括沉積一鈷保護層,使得在已沉積該銅晶種層之後且在沉積該鈷保護層之前不會使該半導體基板暴露至大氣。
  7. 如請求項6之半導體基板的處理方法,其中該銅晶種層係透過PVD進行沉積,而該鈷保護層係透過CVD進行沉積。
  8. 如請求項1之半導體基板的處理方法,其中該保護層係保形地進行沉積並且覆蓋該至少一凹陷特徵部的該等側壁處的該銅晶種層。
  9. 如請求項1之半導體基板的處理方法,其中在(b)之中係將該保護層沉積在該半導體基板的一場區域上方,使該保護層覆蓋該至少一凹陷特徵部的開口,進而防止該至少一凹陷特徵部的該等側壁上的該銅晶種層接觸大氣。
  10. 如請求項1之半導體基板的處理方法,更包括在(b)之後將該半導體基板暴露至大氣,並將銅電沉積至該至少一凹陷特徵部中,其中在銅的電沉積期間該保護層係實質地溶解。
  11. 如請求項10之半導體基板的處理方法,其中在(b)之中所沉積的該保護層為鈷保護層,且其中在暴露至大氣之後使鈷氧化以形成鈷-氧鍵。
  12. 如請求項1之半導體基板的處理方法,其中在(b)之中所沉積的該保護層之厚度係介於約10-50 Å之間。
  13. 如請求項1之半導體基板的處理方法,其中在(b)之中所沉積的該保護層之厚度係介於約10-20 Å之間,且該銅晶種層在該至少一凹陷特徵部的該等側壁處之厚度係介於約20-30 Å之間。
  14. 如請求項1之半導體基板的處理方法,其中在(a)之中所提供的該半導體基板更包括下伏於該銅晶種層的一鈷附著層、以及下伏於該鈷附著層的一擴散阻障層。
  15. 如請求項1之半導體基板的處理方法,其中該至少一凹陷特徵部具有約為20 nm或更小的寬度。
  16. 一種將銅電沉積在半導體基板上之凹陷特徵部中的方法,該方法包括: (a)提供具有至少一凹陷特徵部的一半導體基板,用一銅晶種層給該至少一凹陷特徵部加上襯墊,其中該半導體基板包括覆蓋在該銅晶種層上之暴露的一保護層,其中該保護層包括比銅較不惰性的金屬;及 (b)將該半導體基板與包含銅離子的酸性電解液接觸,並且將該半導體基板進行陰極偏壓,使得該保護層實質地溶解並將銅電鍍至該至少一凹陷特徵部中。
  17. 如請求項16之將銅電沉積在半導體基板上之凹陷特徵部中的方法,其中比銅較不惰性的該金屬為鈷,且其中在進行電鍍之前鈷在該保護層中形成鈷-氧鍵。
  18. 如請求項16之將銅電沉積在半導體基板上之凹陷特徵部中的方法,其中該保護層之厚度係介於約10-50 Å之間。
  19. 如請求項16之將銅電沉積在半導體基板上之凹陷特徵部中的方法,其中(b)包括在不將該半導體基板進行偏壓的情況下使該半導體基板與該酸性電解液最初接觸。
  20. 如請求項16之將銅電沉積在半導體基板上之凹陷特徵部中的方法,其中該至少一凹陷特徵部具有介於約7-14 nm之間的寬度。
  21. 如請求項19之將銅電沉積在半導體基板上之凹陷特徵部中的方法,其中該至少一凹陷特徵部具有介於約7-14 nm之間的寬度,而該保護層在該至少一凹陷特徵部的複數側壁上具有介於約1-2 nm之間的厚度。
  22. 一種半導體基板的處理設備,該設備包括: (a)一或更多處理腔室,配置用於沉積金屬;及 (b)    一控制器,包括複數程式指令,該等程式指令係用於使一保護層沉積在該半導體基板的一銅晶種層上方,該保護層包括比銅較不惰性的金屬。
  23. 如請求項22之半導體基板的處理設備,其中比銅較不惰性的該金屬為鈷,且其中該等程式指令包括透過使用含鈷前驅物的反應以將鈷進行沉積的指令。
  24. 如請求項22之半導體基板的處理設備,其中該控制器更包括用於在沉積該保護層之前進行該銅晶種層的沉積的複數程式指令。
  25. 如請求項22之半導體基板的處理設備,其中該設備包括配置用於沉積該銅晶種層的一PVD處理腔室、以及配置用於沉積該保護層的一CVD處理腔室,其中該設備係配置用於在不將該半導體基板暴露至大氣的情況下將該半導體基板從該PVD處理腔室傳輸至該CVD處理腔室。
  26. 如請求項22之半導體基板的處理設備,其中該等程式指令包括用於將該保護層沉積在厚度介於約10-50 Å之間的指令。
TW109114173A 2019-05-01 2020-04-28 半導體裝置製造中在金屬電沉積期間的晶種層保護 TW202111162A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962841522P 2019-05-01 2019-05-01
US62/841,522 2019-05-01

Publications (1)

Publication Number Publication Date
TW202111162A true TW202111162A (zh) 2021-03-16

Family

ID=73029124

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109114173A TW202111162A (zh) 2019-05-01 2020-04-28 半導體裝置製造中在金屬電沉積期間的晶種層保護

Country Status (7)

Country Link
US (1) US20220208604A1 (zh)
JP (1) JP2022530804A (zh)
KR (1) KR20210150604A (zh)
CN (1) CN114051542A (zh)
SG (1) SG11202111959YA (zh)
TW (1) TW202111162A (zh)
WO (1) WO2020223130A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762279B (zh) * 2021-04-21 2022-04-21 翔名科技股份有限公司 半導體零件保護塗層及其製造方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220310907A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic random access memory and manufacturing method thereof
CN113284997B (zh) * 2021-05-13 2022-07-29 厦门士兰明镓化合物半导体有限公司 倒装led芯片及其制备方法
US20230061985A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic random access memory device and formation method thereof

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
CN102054761B (zh) * 2009-11-10 2013-05-29 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法、半导体结构
US8435887B2 (en) * 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8835319B2 (en) * 2012-03-02 2014-09-16 Infineon Technologies Ag Protection layers for conductive pads and methods of formation thereof
US8749060B2 (en) * 2012-09-21 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US20140199497A1 (en) * 2013-01-14 2014-07-17 Tighe A. Spurlin Methods for reducing metal oxide surfaces to modified metal surfaces
CN104113994A (zh) * 2013-04-22 2014-10-22 上海美维科技有限公司 一种采用新型改良的半加成法制作印制电路板的方法
US9054163B2 (en) * 2013-11-06 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for via plating with seed layer
US9617648B2 (en) * 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
US10269701B2 (en) * 2015-10-02 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure with ultra thick metal and manufacturing method thereof
US9553019B1 (en) * 2016-04-15 2017-01-24 International Business Machines Corporation Airgap protection layer for via alignment
JP6998945B2 (ja) * 2016-10-02 2022-01-18 アプライド マテリアルズ インコーポレイテッド ルテニウムライナーと共に銅のエレクトロマイグレーションを改善するドープされた選択的な金属キャップ
US10529622B1 (en) * 2018-07-10 2020-01-07 International Business Machines Corporation Void-free metallic interconnect structures with self-formed diffusion barrier layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI762279B (zh) * 2021-04-21 2022-04-21 翔名科技股份有限公司 半導體零件保護塗層及其製造方法

Also Published As

Publication number Publication date
KR20210150604A (ko) 2021-12-10
CN114051542A (zh) 2022-02-15
JP2022530804A (ja) 2022-07-01
SG11202111959YA (en) 2021-11-29
US20220208604A1 (en) 2022-06-30
WO2020223130A1 (en) 2020-11-05

Similar Documents

Publication Publication Date Title
US9837312B1 (en) Atomic layer etching for enhanced bottom-up feature fill
US9349637B2 (en) Method for void-free cobalt gap fill
US9748137B2 (en) Method for void-free cobalt gap fill
TW202111162A (zh) 半導體裝置製造中在金屬電沉積期間的晶種層保護
US9777386B2 (en) Chemistry additives and process for cobalt film electrodeposition
TWI612170B (zh) 金屬晶種層上之金屬氧化物的還原方法與設備
US20150299886A1 (en) Method and apparatus for preparing a substrate with a semi-noble metal layer
US20140199497A1 (en) Methods for reducing metal oxide surfaces to modified metal surfaces
KR20160108174A (ko) Tsv들 (through silicon vias) 내로 구리의 전착을 위한 니켈 라이너 및 코발트 라이너의 전처리
US10438847B2 (en) Manganese barrier and adhesion layers for cobalt
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
US12012667B2 (en) Copper electrofill on non-copper liner layers
TW201726963A (zh) 低介電常數含鋁蝕刻終止膜的形成方法
US20230260834A1 (en) Metal oxide diffusion barriers
US20230298936A1 (en) Combined self-forming barrier and seed layer by atomic layer deposition
TW202405913A (zh) 在薄襯層上的保形銅沉積
JP2023502512A (ja) 金属相互接続構造におけるドーピングプロセス
TW202314800A (zh) 用於底層金屬上之完全著底通孔之選擇性蝕刻停止封蓋及選擇性通孔開口之方法及裝置