TW202042363A - 半導體元件及其製備方法 - Google Patents

半導體元件及其製備方法 Download PDF

Info

Publication number
TW202042363A
TW202042363A TW108126991A TW108126991A TW202042363A TW 202042363 A TW202042363 A TW 202042363A TW 108126991 A TW108126991 A TW 108126991A TW 108126991 A TW108126991 A TW 108126991A TW 202042363 A TW202042363 A TW 202042363A
Authority
TW
Taiwan
Prior art keywords
layer
substrate
dielectric layer
die
interlayer dielectric
Prior art date
Application number
TW108126991A
Other languages
English (en)
Other versions
TWI701792B (zh
Inventor
施江林
吳珮甄
張慶弘
丘世仰
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Application granted granted Critical
Publication of TWI701792B publication Critical patent/TWI701792B/zh
Publication of TW202042363A publication Critical patent/TW202042363A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/072Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03622Manufacturing methods by patterning a pre-deposited material using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/03828Applying flux
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/039Methods of manufacturing bonding areas involving a specific sequence of method steps
    • H01L2224/0391Forming a passivation layer after forming the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/11848Thermal treatments, e.g. annealing, controlled cooling
    • H01L2224/11849Reflowing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8034Bonding interfaces of the bonding area
    • H01L2224/80357Bonding interfaces of the bonding area being flush with the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭露提供一種半導體元件及其製備方法。該半導體元件具有一第一晶粒、一第二晶粒、一第一重新分佈層、一第二重新分佈層、一第一互連結構以及一第二互連結構。該第二晶粒疊置在該第一晶粒上,該第一重新分佈層配置在該第一晶粒的一第一基底與該第二晶粒的一第二層間介電層之間,且該第二重新分佈層配置在該第二晶粒的一第二基底上。該第一互連結構將該第一重新分佈層連接到該第一晶粒的多個第一金屬線的其中之一個,且該第二互連結構將該第二重新分佈層連接到在該第二層間介電層中的多個第二金屬線的其中一個。

Description

半導體元件及其製備方法
本申請案主張2019/05/02申請之美國正式申請案第16/401,587號的優先權及益處,該美國正式申請案之內容以全文引用之方式併入本文中。
本揭露係關於一種半導體元件及其製備方法。特別是有關於一種疊置晶粒裝置及其製備方法。
當積體電路技術持續進步,不間斷的努力尋找提升效能與密度、改善形狀因數(form factor),並降低成本。由許多設計者所探索出來的實現如此優勢的一方法係由層疊式三維(3D)積體電路所實現。三維積體電路的一些區域係為一適合考慮的事,其係具有二或多個晶片的疊置,所述的疊置係使用不同製造流程(fabrication process)所製造,或者是經電的疊置係使用相同的製造流程所製造,以減少積體電路設備的佔用面積(footprint)。
上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。
本揭露之一實施例提供一種半導體元件。該半導體元件包括一第一晶粒(first die)、一第二晶粒、一第一重分佈層(first redistribution layer)、一第二重分佈層、一第一互連結構(first interconnect structure)以及一第二互連結構。該第一晶粒包括一第一基底、一第一層間介電層(first inter-layer dielectric (ILD) layer)以及複數個第一金屬線(first metal lines),該第一層間介電層配置在該第一基底的一前表面,該等第一金屬線配置在該第一層間介電層中。該第二晶粒疊置在該第一晶粒上,並包括一第二基底、一第二層間介電層以及複數個第二金屬線,該第二層間介電層配置在該第二基底的一第二前表面上,該等第二金屬線配置在該第二層間介電層中。該第一重新分佈層位在該第一基底與該第二層間介電層之間。該第二重新分佈層配置在該第二基底上。該第一互連結構穿經該第一基底,並穿入該第一層間介電層,以將該第一重新分佈層連接到該等第一金屬線的其中之一個。該第二互連結構穿經該第二基底,並穿入該第二層間介電層,以將該第二重新分佈層連接到該等第二金屬線的其中之一個。
依據本揭露之一些實施例,以剖視圖來看,該第一重新分佈層對準該第一互結構,並偏離該第二金屬線。
依據本揭露之一些實施例,完全地連接到該第一重新分佈層的該第二金屬線,暴露該第二層間介電層。
依據本揭露之一些實施例,該半導體元件還包括至少一錫料凸塊(solder bump),電性連接該第二重新分佈層。
依據本揭露之一些實施例,該半導體元件還包括至少一凸塊下金屬化層(under bump metallization,UBM),夾置在該錫料凸塊與該第二重新分佈層之間。
依據本揭露之一些實施例,該半導體元件還包括一載體(carrier),透過一接合層(bonding layer)接合到該第一晶粒。
依據本揭露之一些實施例,該接合層包括一原生介電膜(native dielectric film)以及一沉積介電層(),該原生介電膜生長在該載體上,該沉積介電層(deposited dielectric layer)位在該第一層間介電層上。
依據本揭露之一些實施例,該第一重新分佈層具有一第一厚度,該第二重新分佈層具有一第二厚度,該第二厚度小於該第一厚度。
本揭露之另一實施例提供一種半導體元件的製備方法。該製備方法包括下列步驟:提供一第一晶粒,該第一晶粒包括一第一基底、一第一層間介電層以及複數個第一金屬線,該第一層間介電層配置在該第一基底的一第一前表面上,該等第一金屬線配置在該層間介電層中;形成一第一互連結構,以穿經該第一基底,並穿入該第一層間介電層,且接觸該等第一金屬線的其中一個;形成一第一重佈線層(re-routing layer),完全地連接該第一互連結構;提供一第二晶粒,該第二晶粒包括一第二基底、一第二層間介電層以及複數個第二金屬線,該第二層間介電層配置在該第二基底的一第二前表面上,該等第二金屬線位在該第二層間介電層中;形成一第二重佈線層,以完全地連接該等第二金屬線的其中一個;將該第二晶粒疊置在該第一晶粒上,並完全地將該第一重佈線層連接到該第二重佈線層;形成一第二互連結構,以穿經該第二基底,並穿入該第二層間介電層,且接觸該等第二導線的其中一個;以及在該第二基底上形成一第二重分佈層(second redistribution layer),並完全地連接該第二互連結構。
依據本揭露之一些實施例,該第一重佈線層。與該第二層重佈線層具有一完全相同的圖案。
依據本揭露之一些實施例,該製備方法,還包括形成一介電層,圍繞該第一重分佈層(the first redistribution layer)以及該第二重分佈層。
依據本揭露之一些實施例,該製備方法還包括:提供一載體(carrier);在該第一層間介電層上形成一介電膜(dielectric film);以及透過該介電膜接合該第一晶粒與該載體。
依據本揭露之一些實施例,該製備方法還包括在該第二重分佈層形成之後,執行一磨削製程(grinding process),以使該載體變薄。
依據本揭露之一些實施例,該第一互連結構的形成,包括:形成至少一第一開口,以暴露該等第一金屬線;以及在該等第一開口中沉積一第一導電材料;其中該第二互連結構的形成,包括:形成至少一第二開口,以暴露該等第二金屬線;以及在該等第二開口中沉積一第二導電材料。
依據本揭露之一些實施例,該製備方法還包括:在該第一導電材料沉積之前,在該第一基底上以及在該第一開孔中沉積一第一阻障層(first barrier layer);在該第一導電材料沉積之後,執行一第一平坦化製程,以移除該第一阻障層以及該第一導電材料的一些部分,因此暴露該第一基底;在該第二導電材料沉積之前,在該第二基底上以及在該第二開口中沉積一第二阻障層;以及在該第二導電材料沉積之後,執行一第二平坦化製程,以移除該第二阻障層與該第二導電材料的一些部分,因此暴露該第二基底。
依據本揭露之一些實施例,該製備方法還包括:在該第一互連結構形成之前,執行一第一薄化製程(first thinning process),以使該第一基底變薄;以及在該第二互連結構形成之前,執行一第二薄化製程,以使該第二基底變薄。
上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。
本揭露之以下說明伴隨併入且組成說明書之一部分的圖式,說明本揭露之實施例,然而本揭露並不受限於該實施例。此外,以下的實施例可適當整合以下實施例以完成另一實施例。
「一實施例」、「實施例」、「例示實施例」、「其他實施例」、「另一實施例」等係指本揭露所描述之實施例可包含特定特徵、結構或是特性,然而並非每一實施例必須包含該特定特徵、結構或是特性。再者,重複使用「在實施例中」一語並非必須指相同實施例,然而可為相同實施例。
本文中使用之術語僅是為了實現描述特定實施例之目的,而非意欲限制本發明。如本文中所使用,單數形式「一(a)」、「一(an)」,及「該(the)」意欲亦包括複數形式,除非上下文中另作明確指示。將進一步理解,當術語「包括(comprises)」及/或「包括(comprising)」用於本說明書中時,該等術語規定所陳述之特徵、整數、步驟、操作、元件,及/或組件之存在,但不排除存在或增添一或更多個其他特徵、整數、步驟、操作、元件、組件,及/或上述各者之群組。
本揭露係針對一半導體元件以及其製備方法。為了使本揭露完全地可理解,接下來的敘述係提供詳細的步驟與結構。明顯地,北揭露的實施並不會限制所屬領域中具有通常知識者所已知的特殊細節。此外,已知的結構與步驟不再詳述,以免不必要地限制本揭露。本揭露的較佳實施例詳述如下。然而,除了詳細說明之外,本揭露亦可廣泛實施於其他實施例中。本揭露的範圍不限於詳細說明的內容,而是由申請專利範圍定義。
圖1為依據本揭露一些實施例的一種半導體系統10之剖視示意圖。請參考圖1,半導體系統10具有一主板(main board)12以及一半導體元件14,半導體元件14安裝並電性連接在主板12,其中半導體元件14具有一第一晶粒20a以及一第二晶粒20b,第一晶粒20a與第二晶粒20b垂直地疊置成正面對背面架構(front-to-back configuration)。
在一些實施例中,主板12可為一電路板(circuit board)或一電子系統(electronic system)的主機板(motherboard),所述電子系統係如一電腦系統(computer system)。在一些實施例中,主板12具有一支撐組件(supporting member)122以及複數個配線墊(wiring pads)124,該等配線墊124配置在支撐組件122的一表面1222上。在一些實施例中,支撐組件122可由介電材料所製,例如雙馬來醯亞胺-三氮雜苯樹脂(bismaleimide triazine(BT)resin)或FR4(由編結的玻璃纖維布和防火的環氧樹脂黏合劑所組成)/玻璃;該等配線墊123可為鍍金導體(gold-plated conductor)、鍍銅導體(copper-plated conductors)或是鍍鋁導體(aluminum-plated conductors)。
在一些實施例中,半導體元件14還包括一載體(carrier)30,其中第一晶粒20a以介電質與介電質接合(dielectric-to-dielectric bonding)製程疊置並接合到載體30,其中一接合層(bonding layer)32可夾置在第一晶粒20a與載體30之間。在一些實施例中,載體30可為其上沒有配置任何電子電路(electrical circuitry)的一裸矽晶圓(bare silicon wafer)。在一些實施例中,接合層32具有一沉積介電膜(deposited dielectric film)142以及一原生介電膜(native dielectric film)302,沉積介電膜142係如二氧化矽(silicon dioxide,SiO2 )並位在第一晶粒20a上,而當載體30暴露在空氣中的氧與水時,係形成原生介電膜302。在一些實施例中,沉積介電膜142提供一高品質接合界面,以促進與載體30的接合。
在一些實施例中,第一晶粒20a與第二晶粒20b可使用相同的製造流程(fabrication process)進行製造。舉例來說,第一晶粒20a與第二晶粒20b可形成一記憶體堆疊(memory stack)。然而,在一些實施例中,第一晶粒20a與第二晶粒20b可使用不同製造流程進行製造。舉例來說,第一晶粒20a與第二晶粒20b其中一個可為一記憶體裝置(memory device),而第一晶粒20a與第二晶粒20b的其中另一個可為一處理器(processor)、一影像感測器(image sensor)或是一應用專用積體電路(application specific integrated circuit,ASIC)裝置。
第一晶粒20a具有一第一基底210a以及一第一層間介電層(first inter-layer dielectric (ILD) layer)220a,第一層間介電層220a配置在第一基底210a的一前表面212a上。在一些實施例中,第一基底210a還可包括許多不同層,其並未分開繪製,且其組合起來以形成不同微電子元件(microelectronic elements),微電子元件可包括電晶體、電阻器、二極體、電容器、電感器、熔絲(fuses),或其他適合的元件,或是其組合。該等不同層可包括介電層(dielectric layers)、閘極層(gate layers)、界面層(interfacial layers)、擴散/阻障層(diffusion/barrier layers)、導電層(conductive layers)、其他適合的層,或是其組合。第一基底210a的該等不同層亦可包括不同摻雜區(doped regions)、隔離特徵(isolation features)、其他特徵,或是其組合。再者,如此的微電子元件可相互互連,以形成第一基底210的一部分,例如一邏輯裝置、一記憶體裝置、一射頻裝置(radio frequency device)、一輸入/輸出裝置(input/output device)、一系統整合晶片(system-on-chip)裝置、其他適合的型態裝置,或其組合。
在一些實施例中,第一層間介電層220a覆蓋該等微電子元件、該等摻雜區以及該等隔離特徵。在一些實施例中,沉積介電膜142沉積在第一層間界電層220a上。在一些實施例中,第一層間介電層220a為一介電質(dielectric),並可包含氧化物(oxide)、氮化物(nitride)或是氮氧化物(oxynitride)。
在一些實施例中,第一晶粒20a還包括複數個第一金屬線(first metal lines)230a,該等第一金屬線230a配置在第一層間介電層220a中。在一些實施例中,該等第一金屬線230a可電性連接該等微電子元件,並可包含鋁、銅、鎢、鈦或是其類似物。
在一些實施例中,半導體元件14還包括至少一第一互連結構(first interconnect structure)240a,第一互連結構240a完全地連接該等第一金屬線230a的至少其中一個。在一些實施例中,第一互連結構240a穿經第一基底210a,並穿入第一層間介電層220a,且接觸最接近第一基底210a的第一金屬線230a。在一些實施例中,第一互連結構240a可包含鋁、銅、鎢、鈷(cobalt,Co)、多晶矽(polysilicon)或其他適合的導電材料。
在一些實施例中,半導體元件14還可包括一第一阻障層250a,第一阻障層250a夾置在第一基底210a與第一互連結構240a之間、第一層間介電層220a與第一互連結構240a之間,以及第一金屬線230a與第一互連結構240a之間。在一些實施例中,第一阻障層250a當成一黏膠層(glue layer)的作用。在一些實施例中,耐火金屬(refractory metals)、耐火金屬氮化物(refractory metal nitrides)、耐火金屬氮化矽(refractory metal silicon nitrides)以及其組合,典型地使用在第一阻障層250a。在一些實施例中,第一阻障層250a可包含鈦、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鈦矽氮化物(titanium silicon nitride;TiSiN)、鉭矽氮化物(tantalum silicon nitride;TaSiN),或是其類似物。在一些實施例中,一晶種層(seed layer)(圖未示)可夾置在第一互連結構240a與第一阻障層250a之間,以促進第一互連結構240a的形成。
第二晶粒20b具有一第二基底210b、一第二層間介電層220b以及複數個第二金屬線230b,第二層間介電層220b配置在第二基底210b的一第二前表面212b上,該等第二金屬線230b配置在第二層間介電層220b中。在一些實施例中,第二基底210b還可包括許多不同層,其並未分開繪製,且其組合起來以形成被第二層間介電層220b覆蓋的不同微電子元件、摻雜區以及隔離特徵。在一些實施例中,該等第二金屬線230b的其中一些透過第二層間介電層220b暴露,以提供一第一重分佈層(first redistribution layer)34的一著陸區(landing area),將於後詳述。
在一些實施例中,半導體元件14還包括至少一第二互連結構240b,第二互連結構240b從一第二後表面214b延伸到該等第二金屬線230b的其中一個,所述第二後表面214b相對第二前表面212b設置。在一些實施例中,半導體元件14還可包括一第二阻障層250b,第二阻障層250b圍繞第二互連結構240b設置,並夾置在第二互連結構240b與相對應的第二金屬線230b之間。
在第一晶粒20a與第二晶粒20b之間的第一重分佈層34,將第一互連結構240a連接到第二金屬線230b。換言之,第一互連結構240a將第一金屬線230a連接到第一重分佈層34。在一些實施例中,從剖視圖來看,第一重分佈層34對準第一互連結構240a,且偏離相對應的第二金屬線230b。在一些實施例中,第一重分佈層34可包含鋁、鎢、鈦、金、鉑(Pt)或是其組合。
在一些實施例中,半導體元件14還可包括一第二重分佈層36,第二重分佈層36連接到第二互連結構240b。換言之,第二互連結構240b將第二金屬線230b連接到第二重分佈層36。在一些實施例中,第一重分佈層34具有一第一厚度T1,且第二重分佈層36具有一第二厚度T2,第二厚度T2小於第一厚度T1。
在一些實施例中,半導體元件14還可包括一介電材料38,介電材料38圍繞第一重分佈層34與第二重分佈層36設置。介電材料38可提升第一晶粒20a與第二晶粒20b之間的連接(attachment)之機械強度(mechanical strength),並降低第一重分佈層34與第二重分佈層26的腐蝕(corrosion)。在一些實施例中,介電材料38可包含氧化物,例如二氧化矽。
半導體元件14還可包括至少一錫料凸塊(solder bump)40,錫料凸塊40電性連接第二重分佈層36。在一些實施例中,完全地連接該等配線墊124的錫料凸塊40 當成輸入/輸出(I/O)連接使用,以將半導體元件14電性連接到主板12。在一些實施例中,錫料凸塊40位在相對應配線墊124的一位置,以使其完全地且電性連接。在一些實施例中,半導體元件14還可包括至少一凸塊下金屬化層(under bump metallization,UBM)42,凸塊下金屬化層42夾置在第二重新分佈層36與錫料凸塊40之間。在一些實施例中,凸塊下金屬化層42包含鋁。
圖2為依據本揭露一些實施例的一種半導體系統10A之剖視示意圖。請參考圖2,半導體系統10A具有一主板12以及一半導體元件14A,半導體元件14A安裝在主板12上並具有垂直疊置成正面對背面架構的第一到第四晶粒20a~20d。特別的是,第三晶粒20c疊置在第四晶粒20d上,第二晶粒20b疊置在第三晶粒20c上,且第一晶粒20a疊置在第二晶粒20b上。在一些實施例中,第一晶粒20a透過一接合層32接合一載體30,其中接合層32配置在第一晶粒20a的一第一層間介電層220a上,以提供高品質接合界面。在一些實施例中,接合層32接觸該等第一金屬線230a透過第一層間介電層220a暴露的其中一些。
在一些實施例中,半導體元件14A還包括複數個第一重分佈層34,該等第一重分佈層34配置在二相鄰的晶圓20a與20b、20b與20c,以及20c與20d之間。特別的是,該等第一重分佈層34的其中一個,如位在第一晶粒20a與第二晶粒20b之間,將第二晶粒20b之該等第二金屬線230b的其中至少一個連接到至少一第一互連結構240a;另一個第一重分佈層34,例如位在第二晶粒20b與第三晶粒20c之間,將第三晶粒20c之該等第三金屬線230c的其中至少一個連接到至少一第二互連結構;以及再另一第一重分佈層34,例如位在第三晶粒20c與第四晶粒20d之間,將第四晶粒20d之該等第四金屬線230d的其中至少一個連接到至少一第三互連結構240c。
在一些實施例中,半導體元件14A還包括一第二重分佈層36,第二重分佈層36將第四晶粒240d的至少一第四金屬線230d完全地連接到一或多個凸塊下金屬化層(UBM)42。在一些實施例中,半導體元件14A還包括一或多個錫料凸塊40,錫料凸塊40配置在連接在第二重分佈層36的凸塊下金屬化層(UBM)42上。在一些實施例中,一介電材料38塗敷在第一晶粒20a的一第一基底210a與第二晶粒20b的一第二層間介電層220b之間、在第二晶粒20b的一第二基底210b與第三晶粒20c的一第三層間介電層220c之間,以及在第三晶粒20c的一第三基底210c與第四晶粒20d的一第四層間介電層220d之間;且介電材料38圍繞第一重分佈層34。在一些實施例中,介電材料38還塗敷在第四晶粒20d的一第四基底210d上,並圍繞第二重分佈層36。
圖3為依據本揭露一些實施例的一種半導體元件14的製備方法之流程示意圖。圖4至圖25為依據本揭露一些實施例的一半導體元件14的製備方法50的各中間階段之剖視示意圖。如圖4至圖25所示的各階段亦綱要性地繪製在圖3中的流程圖中。在接下來的討論中,在圖4至圖25所示的各製造階段係參考如圖3所示的各製程步驟進行討論。
請參考圖4,依據圖3中的一步驟502,提供一第一晶粒20a以及一載體30。在一些實施例中,第一晶粒20a具有一第一基底210a、一第一層間介電層220a以及複數各第一金屬線230a,第一層間介電層220a配置在第一基底210a的一前表面212a上,該等第一金屬線230a配置在第一層間介電層220a中。在圖4中,第一晶粒20a係上下顛倒設置,以使第一層間介電層220a面對載體30。第一基底210a可為一摻雜塊狀矽(doped bulk silicon)、一未摻雜塊狀矽(undoped bulk silicon),或是絕緣體上半導體(semiconductor on insulator;SOI)之一主動層,並可具有許多不同層,其並未分開繪製,且其組合起來以形成不同微電子元件、摻雜區以及隔離特徵。在一些實施例中,第一基底210a具有一初始厚度T3,舉例來說,其係可為775μm。
在一些實施例中,使用一氣相沉積製程將含有氧化物的一介電膜142沉積在第一層間介電層220a上。在一些實施例中,一原生介電膜302生長在載體30的一表面301上。在一些實施例中,載體30具有一厚度T4,舉例來說,其係大於750μm,例如其係為775μm。
請參考圖5,在一些實施例中,依據圖3中一步驟504,第一晶粒20a接合載體30。在一些實施例中,第一晶粒20a以介電質與介電質接合製程接合到載體30,所述介電質與介電質接合製程係如一氧化物融熔接合(oxide fusion bonding)製程。
請參考圖6,在一些實施例中,依據圖3中的一步驟506,執行一第一薄化製程,以使第一基底210a變薄。在一些實施例中,移除第一基底210a的一部分,以使第一晶粒20a變薄。在圖6中,第一基底210a具有一厚度T5,舉例來說,厚度T5可為50μm。在一些實施例中,可使用適合的技術實現第一薄化製程,例如一磨削製程(grinding process)、一研磨製程(polishing process)及/或一化學蝕刻製程(chemical etching process)。
請參考圖7及圖8,在一些實施例中,依據圖3中的一步驟508,形成一或多個第一開口260a,以暴露該等第一金屬線230a的至少其中一個。在一些實施例中,將一第一光阻圖案610塗佈在第一基底210a的一第一後表面214a上,並執行一第一蝕刻製程以移除第一基底210a與第一層間介電層220a的該等部分以形成該等第一開口260a。在一些實施例中,在完全覆蓋第一後表面214a上的一第一光阻材料執行一曝光製程(exposure process)以及一顯影製程(develop process),係可形成所述第一光阻圖案。
在一些實施例中,透過第一光阻圖案610暴露第一後表面214a被蝕刻的一些部分。在一些實施例中,該等第一開口260a停止在該等第一金屬線230a處。在一些實施例中,第一蝕刻製程可利用多個蝕刻劑(etchants)以蝕刻第一基底210a與第一層間介電層220a,其中該等蝕刻劑係依據被蝕刻的材料進行選擇。在一些實施例中,可使用一乾蝕刻製程、一濕蝕刻製程、其組合的製程,或是任何其他適合的非等向性蝕刻製程,對第一基底210a與第一層間介電層220a進行蝕刻。在執行第一蝕刻製程之後,舉例來說,以一灰化製程(ashing process)或一濕式剝除製程(wet strip process)移除第一光阻圖案610,其中所述濕式剝除製程可在化學上改變第一光阻圖案610,以使其不再黏貼到第一基底210a。
請參考圖9,在一些實施例中,依據圖3中的一步驟510,一第一阻障層(first barrier layer)250a可選擇地沉積在第一後表面214a上以及沉積在該等第一開口260a中。在一些實施例中,第一阻障層250a為一大致保形層(conformal layer)。在一些實施例中,舉例來說,使用一物理氣相沉積(physical vapor deposition,PVD)製程形成第一阻障層250a。
請參考圖10,在一些實施例中,依據圖3中的一步驟512,一第一導電材料270a沉積在第一阻障層250a上。在一些實施例中,第一導電材料270a具有一厚度,所述厚度足以填滿該等第一開口260a。在一些實施例中,以一電鍍製程(electroplating process)或一化學氣相沉積(CVD)製程形成第一導電材料270a。
請參考圖11,在一些實施例中,依據圖3中的一步驟514,執行一第一平坦化製程,以暴露第一基底210a。據此,形成一或多個互連結構240a。在一些實施例中,平坦化第一阻障層250a與第一導電材料270a,以暴露第一後表面214a。在一些實施例中,第一平坦化製程包括一化學機械研磨(chemical mechanical polishing,CMP)製程及/或濕蝕刻製程。
請參考圖12,在一些實施例中,依據圖3中的一步驟516,沉積一第一前驅物層(first precursor layer)280a,以覆蓋第一後表面214a、該等第一互連結構240a以及第一阻障層250a。在一些實施例中,第一前驅物層280a可為一金屬層,所述金屬層含有鋁、鎢、鈷(cobalt)、鈦、金、鉑或是其合金。在一些實施例中,利用一化學氣相沉積(CVD)製程、一物理氣相沉積(PVD)製程、一噴濺(sputtering)製程、一蒸鍍(evaporation)製程或是一電鍍製程,以形成第一前驅物層280a。
接下來,一第一遮罩620提供在第一前驅物層280a上,以圖案化第一前驅物層280a。在一些實施例中,第一前驅物層280a的一部分透過第一遮罩620暴露,且第一互連結構240a配置在第一遮罩620下方。
請參考圖13,在一些實施例中,依據圖3中的一步驟518,執行一第一圖案化製程,以透過第一遮罩620蝕刻第一前驅物層280a,因此形成一第一重佈線層(first re-routing layer)282a。在執行第一圖案化製程之後,移除第一遮罩620。在一些實施例中,藉由移除第一前驅物層280a透過第一遮罩620暴露的該部分以形成第一重佈線層282a。在一些實施例中,從剖視圖來看,第一重佈線層282a可位在第一互連結構240a的一中心軸C上。在一些實施例中,第一重佈線層282a的該等部分覆蓋第一後表面214a。
請參考圖14,在一些實施例中,依據圖3中的一步驟520,一第一介電層284a沉積在透過第一重佈線層282a而暴露的第一後表面214a上。在一些實施例中,第一介電層284a圍繞第一重佈線層282a設置。在一些實施例中,第一重佈線層282a具有一頂表面2822a,且第一介電層284a具有一頂表面2842a,頂表面2842a與頂表面2822a為共面。在一些實施例中,使用一化學氣相沉積(CVD)製程形成第一介電層284a。
請參考圖15,在一些實施例中,依據圖3中的一步驟522,提供一第二晶粒20b。在一些實施例中,第二晶粒20b具有一第二基底210b、一第二層間介電層220b以及複數個第二金屬線230b,第二層間介電層220b配置在第一基底210b上,該等第二金屬線230b位在第二層間介電層2230b中,其中該等第二金屬線230b的其中至少一個透過第二層間介電層220b而暴露。在一些實施例中,透過第二層間介電層220b的第二金屬線230b具有一頂表面232b,頂表面232b與第二層間介電層220b的一頂表面222b為共面。在圖15中,第二基底210b具有一厚度T6,其係約755μm。
接下來,一第二前驅物層280b沉積在頂表面222b、232b上。在一些實施例中,第二前驅物層280b的材料以及形成方法實質上與第一前驅物層280a相同。
再者,在第二前驅物層280b上提供第一遮罩620,以界定如圖16所示之一第二重佈線層282b的一圖案。在一些實施例中,暴露在第二層間介電層220b之第二金屬線230b的該等部分沉積在第一遮罩620下方。
請參考圖16,在一些實施例中,依據圖3中的一步驟524,執行一第二圖案化製程,以蝕刻第二前驅物層280b,因此形成第二重佈線層282b。在一些實施例中,藉由移除第二前驅物層280b透過第一遮罩620而暴露的該部分以形成第二重佈線層282b。在執行第二圖案化製程之後,移除第一遮罩620。在一些實施例中,第一重佈線層582a與第二重佈線層282b具有一完全相同的圖案。
請再參考圖16,在一些實施例中,依據圖3中的一步驟526,沉積一第二介電層284b以覆蓋透過第二重佈線層282b而暴露的頂表面222b、232b,且圍繞第二重佈線層282b。在一些實施例中,第二重佈線層282b具有一頂表面2822b,且第二介電層284b具有一頂表面2842b,頂表面2842b與頂表面2822b為共面。在一些實施例中,第二介電層284b的材料與形成方法實質上與第一介電層284a相同。
請參考圖17,在一些實施例中,依據圖3中的一步驟528,第二晶粒20b疊置並接合第一晶粒20a。在一些實施例中,第二晶粒20b為上下顛倒設置,以使第二重佈線層282b面對第一重佈線層282a。在執行接合製程後,第二重佈線層282b直接地連接第一重佈線層282a,因此形成一第一重分佈層34,且第二介電層284b接觸第一介電層284a,因此形成位在第一晶粒20a與第二晶粒20b之間的一介電材料38。在第一晶粒20a與第二晶粒20b接合之後,執行一退火製程(anneal process)以將第一重佈線層282a接合到第二重佈線層282b,並將第一介電層284a熔融(fuse)到第二介電層284b。
請參考圖18,在一些實施例中,依據圖3中的一步驟530,執行一第二薄化製程,以使第二基底210b變薄。在一些實施例中,移除第二基底210b的一部分,以使第二晶粒20b變薄。在圖18中,第二基底210b具有一厚度T7,其係約50μm。
請參考圖19,在一些實施例中,依據圖3中的一步驟532,形成一或多個第二開口290b,以暴露該等第二金屬線230b的其中至少一個。在一些實施例中,藉由在與第二前表面212b相對設置的一第二後表面214b上塗佈一第二光阻圖案630,並執行一第二蝕刻製程移除第二基底210b與第二層間介電層220b未被第二光阻圖案630覆蓋的一些部分,以形成該等第二開口290b。在執行第二蝕刻製程之後,舉例來說,以一灰化製程或一濕式剝除製程移除第二光阻圖案630。
請參考圖20,在一些實施例中,依據圖3中的一步驟534,一第二阻障層250b可選擇地沉積在第二後表面214b上及沉積在該等第二開口290b中。在一些實施例中,第二阻障層250b具有一大致均勻厚度。第二阻障層250b的材料與形成方法實質上與第一阻障層250a相同。
接下來,依據圖323中的一步驟536,一第二導電材料270b沉積在第二阻障層250b上。在一些實施例中,第二導電材料270b具有一厚度,係足以填滿被第二阻障層250b所圍繞的該等第二開口290b。第二導電材料270b的材料與形成方法實質上與第一導電材料270a相同。
請參考圖21,在一些實施例中,依據圖3中的一步驟538,執行一第二平坦化製程以暴露第二基底210b。據此,形成一或多個第二互連結構240b。在第二平坦化製程期間,移除第二阻障層250b與第二導電材料270b的一些部分以暴露第二後表面214b。
請參考圖22,在一些實施例中,依據圖3中的一步驟540,一第三前驅物層300沉積在第二後表面214b、第二互連結構240b與第二阻障層250b上。在一些實施例中,第三前驅物層300的材料與形成方法實質上與第一前驅物層280a相同。
接下來,如圖23所示,在第三前驅物層300上提供一第二遮罩640。在一些實施例中,該等第二互連結構240b配置在第二遮罩640下方。
請再參考圖23,在一些實施例中,依據圖3中的一步驟542,執行一第三圖案化製程,以透過第二遮罩640蝕刻第三前驅物層300,並暴露第二後表面214b的一部分。據此,形成一第二重分佈層36。在執行第三圖案化製程之後,移除第二遮罩640。在一些實施例中,藉由移除第三前驅物層300透過第二遮罩640而暴露的該部分,以形成第二重分佈層36。在一些實施例中,第二重分佈層36覆蓋第二後表面214b的該等部分。
接下來,依據圖3中的一步驟544,沉積一介電層38,以覆蓋第二後表面214b透過第二重分佈層36而暴露的該等部分,並圍繞第二重分佈層36。在一些實施例中,第二重佈線層36具有一頂表面362,介電層38具有一頂表面382,頂表面382與頂表面362為共面。在一些實施例中,介電層38的材料與形成方法實質上與第一介電層284a與第二介電層284b相同。
請參考圖24,在一些實施例中,依據圖3中的一步驟546,一或多個凸塊下金屬化層(UBM)42形成在第二重分佈層36上,且一或多個錫料凸塊40配置在該等凸塊下金屬化層(UBM)42上。在一些實施例中,藉由初始地在該等凸塊下金屬化層(UBM)42上放置焊接熔劑(solder flux)(圖未示),以安裝該等錫料凸塊40。焊接熔劑一般具有一酸性成分(acidic component)以及一附著性(adhesive quality),所述酸性成分係移除氧化物阻障(oxide barriers),所述附著性係在製程期間幫助避免移動。一旦該等錫料凸塊40接觸焊接熔劑,執行一回焊(reflow)以使該等錫料凸塊40與焊接熔劑的材料回流,以將該等錫料凸塊40完全地接合到該等凸塊下金屬化層(UBM)42。
請參考圖25,在一些實施例中,依據圖3中的一步驟548,執行一磨削製程,以使載體30變薄。據此,完全地形成半導體元件14。在一些實施例中,載體30變薄成一厚度T8,例如小於或等於50μm。
本揭露之一實施例提供一種半導體元件。該半導體元件包括一第一晶粒、一第二晶粒、一第一重分佈層、一第二重分佈層、一第一互連結構以及一第二互連結構。該第一晶粒包括一第一基底、一第一層間介電層以及複數個第一金屬線,該第一層間介電層配置在該第一基底的一前表面,該等第一金屬線配置在該第一層間介電層中。該第二晶粒疊置在該第一晶粒上,並包括一第二基底、一第二層間介電層以及複數個第二金屬線,該第二層間介電層配置在該第二基底的一第二前表面上,該等第二金屬線配置在該第二層間介電層中。該第一重新分佈層位在該第一基底與該第二層間介電層之間。該第二重新分佈層配置在該第二基底上。該第一互連結構穿經該第一基底,並穿入該第一層間介電層,以將該第一重新分佈層連接到該等第一金屬線的其中之一個。該第二互連結構穿經該第二基底,並穿入該第二層間介電層,以將該第二重新分佈層連接到該等第二金屬線的其中之一個。
本揭露之另一實施例提供一種半導體元件的製備方法。該製備方法包括下列步驟:提供一第一晶粒,該第一晶粒包括一第一基底、一第一層間介電層以及複數個第一金屬線,該第一層間介電層配置在該第一基底的一第一前表面上,該等第一金屬線配置在該層間介電層中;形成一第一互連結構,以穿經該第一基底,並穿入該第一層間介電層,且接觸該等第一金屬線的其中一個;形成一第一重佈線層(re-routing layer),完全地連接該第一互連結構;提供一第二晶粒,該第二晶粒包括一第二基底、一第二層間介電層以及複數個第二金屬線,該第二層間介電層配置在該第二基底的一第二前表面上,該等第二金屬線位在該第二層間介電層中;形成一第二重佈線層,以完全地連接該等第二金屬線的其中一個;將該第二晶粒疊置在該第一晶粒上,並完全地將該第一重佈線層連接到該第二重佈線層;形成一第二互連結構,以穿經該第二基底,並穿入該第二層間介電層,且接觸該等第二導線的其中一個;以及在該第二基底上形成一第二重分佈層,並完全地連接該第二互連結構。
雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。
再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包含於本申請案之申請專利範圍內。
10:半導體系統 10A:半導體系統 12:主板 14:半導體元件 14A:半導體元件 20a:第一晶粒 20b:第二晶粒 20c:第三晶粒 20d:第四晶粒 30:載體 32:接合層 34:第一重分佈層 36:第二重分佈層 38:介電材料 40:錫料凸塊 42:凸塊下金屬化層 50:製備方法 122:支撐組件 124:配線墊 142:沉積介電膜 210a:第一基底 210b:第二基底 210c:第三基底 210d:第四基底 212a:前表面 212b:第二前表面 214a:第一後表面 214b:第二後表面 220a:第一層間介電層 220b:第二層間介電層 220c:第三層間介電層 220d:第四層間介電層 222b:頂表面 230a:第一金屬線 230b:第二金屬線 230c:第三金屬線 230d:第四金屬線 232b:頂表面 240a:第一互連結構 240b:第二互連結構 240c:第三互連結構 250a:第一阻障層 250b:第二阻障層 260a:第一開口 270a:第一導電材料 270b:第二導電材料 280a:第一前驅物層 280b:第二前驅物層 282a:第一重佈線層 282b:第二重佈線層 284a:第一介電層 284b:第二介電層 290b:第二開口 300:第三前驅物層 301:表面 302:原生介電膜 362:頂表面 382:頂表面 502:步驟 504:步驟 506:步驟 508:步驟 510:步驟 512:步驟 514:步驟 516:步驟 518:步驟 520:步驟 522:步驟 524:步驟 526:步驟 528:步驟 530:步驟 532:步驟 534:步驟 536:步驟 538:步驟 540:步驟 542:步驟 544:步驟 546:步驟 548:步驟 610:第一光阻圖案 620:第一遮罩 630:第二光阻圖案 640:第二遮罩 1222:表面 2822a:頂表面 2822b:頂表面 2842a:頂表面 2842b:頂表面 C:中心軸 T1:第一厚度 T2:第二厚度 T3:厚度 T4:厚度 T5:厚度 T6:厚度 T7:厚度 T8:厚度
參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。 圖1為依據本揭露一些實施例的一種半導體系統之剖視示意圖。 圖2為依據本揭露一些實施例的一種半導體系統之剖視示意圖。 圖3為依據本揭露一些實施例的一種半導體元件的製備方法之流程示意圖。 圖4至圖25為依據本揭露一些實施例的一半導體元件的製備方法的各中間階段之剖視示意圖。
10:半導體系統
12:主板
14:半導體元件
20a:第一晶粒
20b:第二晶粒
30:載體
32:接合層
34:第一重分佈層
36:第二重分佈層
38:介電材料
40:錫料凸塊
42:凸塊下金屬化層
122:支撐組件
124:配線墊
142:沉積介電膜
210a:第一基底
210b:第二基底
212a:前表面
212b:第二前表面
214b:第二後表面
220a:第一層間介電層
220b:第二層間介電層
230a:第一金屬線
230b:第二金屬線
240a:第一互連結構
240b:第二互連結構
250a:第一阻障層
250b:第二阻障層
302:原生介電膜
1222:表面
T1:第一厚度
T2:第二厚度

Claims (16)

  1. 一種半導體元件,包括: 一第一晶粒,包括一第一基底、一第一層間介電層以及複數個第一金屬線,該第一層間介電層配置在該第一基底的一前表面,該等第一金屬線配置在該第一層間介電層中; 一第二晶粒,疊置在該第一晶粒上,並包括一第二基底、一第二層間介電層以及複數個第二金屬線,該第二層間介電層配置在該第二基底的一第二前表面上,該等第二金屬線配置在該第二層間介電層中; 一第一重新分佈層,位在該第一基底與該第二層間介電層之間; 一第二重新分佈層,配置在該第二基底上; 一第一互連結構,穿經該第一基底,並穿入該第一層間介電層,以將該第一重新分佈層連接到該等第一金屬線的其中之一個;以及 一第二互連結構,穿經該第二基底,並穿入該第二層間介電層,以將該第二重新分佈層連接到該等第二金屬線的其中之一個。
  2. 如請求項1所述之半導體元件,其中以剖視圖來看,該第一重新分佈層對準該第一互結構,並偏離該第二金屬線。
  3. 如請求項1所述之半導體元件,其中完全地連接到該第一重新分佈層的該第二金屬線,暴露該第二層間介電層。
  4. 如請求項1所述之半導體元件,還包括至少一錫料凸塊,電性連接該第二重新分佈層。
  5. 如請求項4所述之半導體元件,還包括至少一凸塊下金屬化層,夾置在該錫料凸塊與該第二重新分佈層之間。
  6. 如請求項1所述之半導體元件,還包括一載體,透過一接合層接合到該第一晶粒。
  7. 如請求項6所述之半導體元件,其中該接合層包括一原生介電膜以及一沉積介電層,該原生介電膜生長在該載體上,該沉積介電層位在該第一層間介電層上。
  8. 如請求項6所述之半導體元件,其中該第一重新分佈層具有一第一厚度,該第二重新分佈層具有一第二厚度,該第二厚度小於該第一厚度。
  9. 一種半導體元件的製備方法,包括 提供一第一晶粒,該第一晶粒包括一第一基底、一第一層間介電層以及複數個第一金屬線,該第一層間介電層配置在該第一基底的一第一前表面上,該等第一金屬線配置在該層間介電層中; 形成一第一互連結構,以穿經該第一基底,並穿入該第一層間介電層,且接觸該等第一金屬線的其中一個; 形成一第一重佈線層,完全地連接該第一互連結構; 提供一第二晶粒,該第二晶粒包括一第二基底、一第二層間介電層以及複數個第二金屬線,該第二層間介電層配置在該第二基底的一第二前表面上,該等第二金屬線位在該第二層間介電層中; 形成一第二重佈線層,以完全地連接該等第二金屬線的其中一個; 將該第二晶粒疊置在該第一晶粒上,並完全地將該第一重佈線層連接到該第二重佈線層; 形成一第二互連結構,以穿經該第二基底,並穿入該第二層間介電層,且接觸該等第二導線的其中一個;以及 在該第二基底上形成一第二重分佈層,並完全地連接該第二互連結構。
  10. 如請求項9所述之製備方法,其中該第一重佈線層。與該第二層重佈線層具有一完全相同的圖案。
  11. 如請求項9所述之製備方法,還包括: 形成一介電層,圍繞該第一重分佈層以及該第二重分佈層。
  12. 如請求項9所述之製備方法,還包括: 提供一載體; 在該第一層間介電層上形成一介電膜;以及 透過該介電膜接合該第一晶粒與該載體。
  13. 如請求項12所述之製備方法,還包括在該第二重分佈層形成之後,執行一磨削製程,以使該載體變薄。
  14. 如請求項9所述之製備方法,其中該第一互連結構的形成,包括: 形成至少一第一開口,以暴露該等第一金屬線;以及 在該等第一開口中沉積一第一導電材料; 其中該第二互連結構的形成,包括: 形成至少一第二開口,以暴露該等第二金屬線;以及 在該等第二開口中沉積一第二導電材料。
  15. 如請求項14所述之製備方法,還包括: 在該第一導電材料沉積之前,在該第一基底上以及在該第一開孔中沉積一第一阻障層; 在該第一導電材料沉積之後,執行一第一平坦化製程,以移除該第一阻障層以及該第一導電材料的一些部分,因此暴露該第一基底; 在該第二導電材料沉積之前,在該第二基底上以及在該第二開口中沉積一第二阻障層;以及 在該第二導電材料沉積之後,執行一第二平坦化製程,以移除該第二阻障層與該第二導電材料的一些部分,因此暴露該第二基底。
  16. 如請求項9所述之製備方法,還包括: 在該第一互連結構形成之前,執行一第一薄化製程,以使該第一基底變薄;以及 在該第二互連結構形成之前,執行一第二薄化製程,以使該第二基底變薄。
TW108126991A 2019-05-02 2019-07-30 半導體元件及其製備方法 TWI701792B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/401,587 2019-05-02
US16/401,587 US10910345B2 (en) 2019-05-02 2019-05-02 Semiconductor device with stacked die device

Publications (2)

Publication Number Publication Date
TWI701792B TWI701792B (zh) 2020-08-11
TW202042363A true TW202042363A (zh) 2020-11-16

Family

ID=73002886

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108126991A TWI701792B (zh) 2019-05-02 2019-07-30 半導體元件及其製備方法

Country Status (3)

Country Link
US (1) US10910345B2 (zh)
CN (1) CN111883507B (zh)
TW (1) TWI701792B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798984B (zh) * 2021-03-26 2023-04-11 南亞科技股份有限公司 具有重分佈結構的半導體元件及其製備方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112164688B (zh) * 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8552563B2 (en) * 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US8421193B2 (en) * 2010-11-18 2013-04-16 Nanya Technology Corporation Integrated circuit device having through via and method for preparing the same
US9123789B2 (en) 2013-01-23 2015-09-01 United Microelectronics Corp. Chip with through silicon via electrode and method of forming the same
US9764153B2 (en) * 2013-03-14 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US10014271B2 (en) 2015-11-20 2018-07-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US9704784B1 (en) 2016-07-14 2017-07-11 Nxp Usa, Inc. Method of integrating a copper plating process in a through-substrate-via (TSV) on CMOS wafer
US10510592B2 (en) * 2016-07-25 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit (IC) structure for high performance and functional density
CN112164688B (zh) 2017-07-21 2023-06-13 联华电子股份有限公司 芯片堆叠结构及管芯堆叠结构的制造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798984B (zh) * 2021-03-26 2023-04-11 南亞科技股份有限公司 具有重分佈結構的半導體元件及其製備方法

Also Published As

Publication number Publication date
CN111883507A (zh) 2020-11-03
TWI701792B (zh) 2020-08-11
US20200350284A1 (en) 2020-11-05
US10910345B2 (en) 2021-02-02
CN111883507B (zh) 2022-09-16

Similar Documents

Publication Publication Date Title
US10629568B2 (en) Stacked integrated circuits with redistribution lines
US9978708B2 (en) Wafer backside interconnect structure connected to TSVs
CN101719484B (zh) 具有再分布线的tsv的背连接
US8390125B2 (en) Through-silicon via formed with a post passivation interconnect structure
US8791011B2 (en) Through-silicon via structure formation process
JP4366510B2 (ja) 垂直型接続部を使用したチップおよびウェハ集積方法
US20100314758A1 (en) Through-silicon via structure and a process for forming the same
TW201023331A (en) Semiconductor device and method for forming the same
US11217560B2 (en) Die assembly and method of manufacturing the same
JP2010045371A (ja) 導電性保護膜を有する貫通電極構造体及びその形成方法
TW201027698A (en) Semiconductor device and method for forming the same
KR20000023210A (ko) 반도체 디바이스 및 그 제조 방법
TWI701792B (zh) 半導體元件及其製備方法
TW202406018A (zh) 具有高深寬比tsv的電連接結構及其製造方法
KR101052366B1 (ko) 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR20060054690A (ko) 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법
KR20060054689A (ko) 후면 입출력 단자를 갖는 반도체 장치 및 그 제조방법