TW202031438A - 用於搬運半導體部件承載器之設備及方法 - Google Patents

用於搬運半導體部件承載器之設備及方法 Download PDF

Info

Publication number
TW202031438A
TW202031438A TW108139206A TW108139206A TW202031438A TW 202031438 A TW202031438 A TW 202031438A TW 108139206 A TW108139206 A TW 108139206A TW 108139206 A TW108139206 A TW 108139206A TW 202031438 A TW202031438 A TW 202031438A
Authority
TW
Taiwan
Prior art keywords
semiconductor component
groove
component carrier
fork
forks
Prior art date
Application number
TW108139206A
Other languages
English (en)
Other versions
TWI731457B (zh
Inventor
吳仁豪
陳彥翰
胡政綱
吳豐光
劉旭水
白峻榮
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202031438A publication Critical patent/TW202031438A/zh
Application granted granted Critical
Publication of TWI731457B publication Critical patent/TWI731457B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/905Control arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67294Apparatus for monitoring, sorting or marking using identification means, e.g. labels on substrates or labels on containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/56Cameras or camera modules comprising electronic image sensors; Control thereof provided with illuminating means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/39Robotics, robotics to robotics hand
    • G05B2219/39527Workpiece detector, sensor mounted in, near hand, gripper
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Signal Processing (AREA)
  • Multimedia (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本揭露實施例提供多種用於搬運半導體部件承載器之設備及方法。在一範例中,揭露一種用於搬運半導體部件承載器之設備。此設備包括一機械手臂及耦接到機械手臂之一成像系統。機械手臂配置以固持一半導體部件承載器。成像系統配置以在將放置半導體部件承載器的表面上自動定位一目標位置。

Description

用於搬運半導體部件承載器之設備及方法
本揭露實施例係有關於一種用於搬運半導體部件承載器之設備及方法。
在半導體裝置的製造期間,通常在許多工作站或處理機器上處理此裝置。半成品裝置或在製品(work-in-process,WIP)部件的運輸或搬運為整個製造過程中重要的一個方面。由於晶片(chips)的易碎特性,在製品部件(例如半導體晶圓)的搬運在積體電路(integratedcircuit,IC)晶片的製造中尤其重要。此外,在製造積體電路產品時,通常需要多個製造步驟(亦即,多達數百個),以完成製造過程。半導體晶圓或積體電路晶片必須在各種處理站之間儲存或運輸,以施行各種製造過程。
晶圓承載器經常被承載器搬運裝置拾取並放置,以運輸、清潔或組裝承載器。習知的承載器搬運裝置不安全,因為其不能保護承載器免於掉落且沒有防撞機構。另外,習知的承載器搬運裝置是藉由手動定位承載器的取放位置而效率低下。此外,習知的承載器搬運裝置笨重且龐大,且只能適合於一種尺寸的承載器。如此,需要一種用於搬運承載器的設備及方法,以解決上述問題。
本揭露一些實施例提供一種用於搬運半導體部件承載器之設備,包括:一機械手臂以及一成像系統。機械手臂配置以固持一半導體部件承載器。成像系統耦接到機械手臂,且配置以在將放置半導體部件承載器之一表面上自動定位一目標位置。
本揭露一些實施例提供一種用於搬運半導體部件承載器之設備,包括:一機械手臂以及一對壓力感應器。機械手臂配置以固持一半導體部件承載器。此對壓力感應器位於機械手臂上,且配置以基於壓力偵測來確定由機械手臂固持之半導體部件承載器之一固持狀態。
本揭露一些實施例提供一種用於搬運半導體部件承載器之方法,包括:固持一半導體部件承載器、自動定位在將放置半導體部件承載器之一表面上之一目標位置、以及基於目標位置將半導體部件承載器放置在表面上。
以下的揭露內容描述許多各種的示例性的實施例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以界定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有額外特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以界定所討論的不同實施例及/或結構之間有特定的關係。
此外,本文中所使用的與空間相關用詞,例如“在…下方”、“下方”、“較低的”、“上方”、“較高的” 及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。除非另有明確說明,如“附著”、“附加”、“連接”及“互連”之類的用詞指多個結構直接地或藉由中間結構間接地固定或彼此連接的關係,以及可移動的或剛性的附著或關係。
除非另有定義,本文使用的所有用詞(包括技術及科學用詞)具有與本揭露所屬的技術領域中具有通常知識者所一般理解的相同的含義。還應理解的是那些用語,例如在常用詞典中定義的用詞應被解釋為具有與相關領域及本揭露的背景或上下文一致的含義,而不應以理想化或者過於正式的方式解讀,除非在此明確定義。
現在將詳細參考本揭露的實施例,其範例繪示在附圖中。 盡可能地,在附圖和說明書中使用相同的參考符號表示相同或相似的部分。
固持半導體部件(例如,晶圓或積體電路晶片)的承載器被承載器搬運裝置拾取並放置,以在各種處理站之間進行清潔、組裝及運輸,以便施行各種製造過程。為了提高用於搬運半導體部件承載器的安全性及效率,本揭露提出一種用於承載器搬運的具有多個保護機構的設備。在一實施例中,設備具有叉形機械手臂,此叉形機械手臂具有把手及耦接到把手的至少兩個叉件(prongs)。機械手臂可應用在移動式機器人(mobile robot)、盒清潔工具(pod cleaning tool)、盒組裝工具(pod assembling tool)、盒轉移工具(pod transfer tool)等。
在一實施例中,設備可包括耦接到機械手臂的成像系統,用以自動定位或校準將放置半導體部件的目標位置。成像系統降低了機器人教學時間的成本(robot teaching time cost),並提高取放動作的準確性。設備還可包括防撞感應器,防撞感應器可保護承載器及承載器中的晶圓免於損壞並減少晶圓廢料(wafer scrap)。在一範例中,當成像系統耦接到叉形機械手臂的一叉件時,防撞感應器耦接到叉形機械手臂的另一叉件。
在另一實施例中,設備包括至少一個感應器,用於在取放承載器時偵測承載器的固持狀態(holding status)。可能具有多種不同類型的感應器耦接到機械手臂,以偵測(例如基於壓力偵測及光偵測)固持狀態。在一範例中,僅當所有感應器偵測到在叉形機械手臂的叉件之間存在承載器時,才確定半導體部件承載器被機械手臂成功地固持。
所揭露的設備具有高度適應性(adaptability)及多個安全功能,以搬運各種尺寸的承載器。因為工廠需要車輛或工具來使用機器人轉移容器而實現完全自動化,所以揭露的設備可提高晶圓生產率(productivity)。所提出的設計使機械手臂的尺寸最小化,以使其適合各種工具或機器人。本揭露適用於處理半導體部件承載器(例如晶圓盒(wafer pod)、舟皿盒(boat magazine)等)的所有類型的裝置。
自動化材料搬運系統(Automated Material Handling Systems,AMHS)在半導體製造設施(fabrication facilities ,FABs)中使用,以在用於晶片製造的各種處理機器(工具)之間自動搬運及運輸成組或大量的晶圓。在半導體製造期間,通常藉由自動化材料搬運系統在不同晶圓處理或其他工具的裝載埠之間運輸晶圓承載器,其中儲存了多個晶圓。晶圓承載器包括可固持複數個晶圓(例如200毫米或8英寸)的標準機械介面(standard mechanical interface,SMIF)盒,或可容納大於300毫米(12英寸)或450毫米(18英寸)的晶圓的前開式晶圓傳送盒(front opening unified pods,FOUP)。典型地,每個晶圓承載器固持大約25個晶圓的數量。裝載埠不僅用於搬運半導體晶圓,也用於搬運待處理的不同類型的基板(例如液晶顯示器玻璃基板及光罩玻璃基板)。半導體製造設施中的自動化材料搬運系統包括多種類型的自動及手動車輛,用於在製造過程期間在整個製造設施中移動及運輸晶圓承載器。舉例來說,這可包括自動引導車輛(automated guided vehicles,AGVs)、個人引導車輛(personal guided vehicles,PGVs)、軌道引導車輛(rail guided vehicles,RGVs)、高架穿梭車(overhead shuttles,OHSs)、及高架懸吊運輸系統(overhead hoist transports,OHTs)。在上述自動化材料搬運系統晶圓運輸機構中,高架懸吊運輸系統通常用於依照處理順序從一個工具的裝載埠向下一個工具的裝載埠運輸晶圓承載器。高架懸吊運輸系統包括在自動化材料搬運系統的高架單軌上行駛的“車輛”。高架懸吊運輸系統車輛車載起重機(on-board hoist)可操作以升高及降低晶圓承載器,以允許高架懸吊運輸系統車輛從高架軌道下方和沿地板設置的工具的裝載埠存放及收回晶圓承載器。在一實施例中,所提出的承載器搬運裝置可為高架懸吊運輸車輛的一部分,用以安全且有效地拾取及放置像是前開式晶圓傳送盒及標準機械介面盒之類的承載器。
第1A圖繪示根據本揭露一些實施例的承載器搬運裝置100的示例性立體圖。承載器搬運裝置100包括叉形機械手臂,叉形機械手臂包括把手102及經由連接器104而耦接到把手102的兩個叉件110、120。機械手臂可配置以固持半導體部件承載器,半導體部件承載器可操作以固持複數個半導體部件。複數個半導體部件中的每一個可包括以下至少之一者:半導體晶圓及半導體裸晶(die)。
如第1A圖所示,兩個叉件110、120中的每一個具有近端及遠端,近端經由連接器104而耦接到把手102,遠端比近端遠離把手102(沿-X方向)。舉例來說,叉件110具有近端112及遠端114;而叉件120具有近端122及遠端124。在一實施例中,兩個叉件中的每一個都具有在此叉件的近端及遠端之間的一凹槽,凹槽配置以固持半導體部件承載器的握柄(grip)。每個叉件上的凹槽具有近端及遠端,其分別對應於叉件的近端及遠端。
在一實施例中,具有一壓力感應器耦接到每個叉件且位於叉件的相應的凹槽中。壓力感應器配置以基於壓力偵測來確定由機械手臂固持的半導體部件承載器的固持狀態。舉例來說,壓力感應器118位於叉件110的凹槽中。每個叉件上還可具有光感應器119,光感應器119耦接在其上並配置以基於光偵測來確定由機械手臂固持的半導體部件承載器的固持狀態。另外,在每個凹槽中都有尺寸適配器(size adapter)115、116,以將機械手臂適應性地(adaptively)裝配到具有各種尺寸的半導體部件承載器上。
在本範例中,承載器搬運裝置100更包括成像系統130,成像系統130耦接到機械手臂並配置以在將放置半導體部件承載器的一表面上自動定位一目標位置。如第1A圖所示,成像系統130位於兩個叉件中的第一個叉件110的遠端114處。另外,在本範例中,承載器搬運裝置100更包括感應器150,感應器150位於兩個叉件中的第二個叉件120的遠端124處,並配置以偵測在機械手臂的移動路徑上的障礙物,以避免與障礙物碰撞。如第1A圖所示,成像系統130及感應器150皆位於機械手臂的叉件下(即,沿-Z方向)。在一實施例中,成像系統130及感應器150的位置可彼此交換。
第1B圖繪示根據本揭露一些實施例的第1A圖中所示的承載器搬運裝置100的另一示例性立體圖。第1B圖示出承載器搬運裝置100的底側。如第1B圖所示,成像系統130及感應器150皆位於機械手臂的叉件110、120下(即,沿-Z方向)。
如第1B圖所示,一對壓力感應器118、128分別耦接到兩個叉件110,120,用以基於壓力偵測來確定由機械手臂固持的半導體部件承載器的固持狀態。舉例來說,一旦由凹槽固持承載器的握柄時,壓力就被施加到凹槽中的壓力感應器並由壓力感應器偵測,以在偵測到的壓力高於預定閾值時產生壓力訊號。壓力感應器118位於第一凹槽中,且與第一凹槽的近端的距離比與第一凹槽的遠端的距離更近;而壓力感應器128位於第二凹槽中,且與第二凹槽的遠端的距離比與第二凹槽的近端的距離更近。亦即,壓力感應器118、128沿著X方向位於不同的位置。藉由壓力偵測的方式偵測承載器的相較於把手102在不同距離處的兩個握柄,這可更好地確保安全地偵測到在兩個叉件110、120之間存在承載器。
另外,一對光感應器119、129分別位於兩個叉件110、120上,並配置以基於光偵測來確定由機械手臂固持的半導體部件承載器的固持狀態。光感應器119、129沿著X方向與把手102以相同的距離定位。舉例來說,光感應器119、129中的一個可向另一個光感應器發射光。當接收光感應器接收到大部分(substantial part)的光時,確定兩個叉件110、120之間沒有承載器。當接收光感應器處的接收光弱於預定閾值時,確定承載器位於在兩個叉件110、120之間。這樣一來,一旦承載器放置在兩個叉件110、120之間,同時將承載器的兩個握柄分別固持在兩個凹槽中,與承載器的每個握柄相鄰的對應部分具有可阻擋兩個光感應器119、129之間的光的不透明的材料,以觸發由兩個光感應器119、129產生的光訊號,以指示承載器的存在。
在一實施例中,基於藉由所述一對壓力感應器118、128的壓力偵測及藉由所述一對光感應器119、129的光偵測而確定半導體部件承載器被機械手臂成功地固持。舉例來說,僅當壓力感應器118、128的每一個偵測到表示施加在機械手臂上的半導體部件承載器的壓力的壓力訊號且一對光感應器119、129偵測到指示在機械手臂的叉件110、120之間存在半導體部件承載器的光訊號時,承載器搬運裝置100才確定半導體部件承載器被機械手臂成功地固持。
第2圖繪示根據本揭露一些實施例的承載器搬運裝置(例如,第1A圖及第1B圖中的承載器搬運裝置100)的示例性成像系統模組130。成像系統130配置以自動地定位在將由機械手臂放置半導體部件承載器的表面250上的目標位置254。如第2圖所示,成像系統130包括相機210,相機210配置以拍攝表面250上的光學代碼252的圖片。光學代碼252可指示表面250上的目標位置254。成像系統130更包括與相機210相鄰的光源220,且光源220配置以發射光以供相機210拍攝圖片。因為成像系統130,固持半導體部件承載器的承載器搬運裝置100可自動且準確地定位在將放置半導體部件承載器的表面250上的目標位置254,並根據目標位置254將半導體部件承載器放置在表面250上。
在一範例中,表面250可為裝載埠的水平表面。光學代碼252可為矩陣條碼,例如快速響應(quick response,QR)圖碼。在一實施例中,光源220首先向表面250上的光學代碼252發射光。然後,基於光,相機210可拍攝光學代碼的圖片。藉由讀取圖片上的光學代碼的資訊,承載器搬運裝置100可確定在表面250上的目標位置254。舉例來說,在讀取光學代碼之後,藉由耦接到承載器搬運裝置100的本地電腦或連接到承載器搬運裝置100的遠端伺務器,承載器搬運裝置100可確定光學代碼252相對於機械手臂的位置。另外,由於光學代碼252與目標位置254之間的相對位置為預定的,承載器搬運裝置100可進一步準確地確定目標位置254相對於機械手臂的位置。如第2圖所示,光學代碼252位於目標位置254的外側(沿X方向),這可幫助避免在成像系統130掃描光學代碼252時,機械手臂與目標位置254處的現有承載器之間的碰撞。
第3A圖繪示根據本揭露一些實施例的示例性承載器搬運裝置300的上視圖。如第3A圖所示,承載器搬運裝置300具有叉形的機械手臂,其包括把手102及耦接到把手102的兩個叉件110、120。兩個叉件110、120彼此平行且沿著X方向延伸。兩個叉件110、120中的每一個具有更靠近把手102的近端及比近端更遠離把手102的遠端。每個叉件具有在叉件的近端及遠端之間的凹槽。舉例來說,叉件110具有凹槽310;而叉件120具有凹槽320。每個凹槽配置以固持半導體部件承載器的握柄。如第3A圖所示,承載器搬運裝置300藉由將握柄391、392分別固持在凹槽310、320中,來固持晶圓承載器盒390。凹槽310、320可穩定且安全地固持握柄391、392,並在固持盒390時保護盒390不掉落。
第3B圖繪示根據本揭露一些實施例的第3A圖中所示的示例性承載器搬運裝置300的更詳細的上視圖。如第3B圖所示,兩個叉件110、120中的每一個在其上具有沿Z方向的相應的凹槽310、320。每個凹槽上具有壓力感應器及尺寸適配器。舉凹槽310為例,壓力感應器318位於凹槽310上,並配置以基於壓力偵測來確定由機械手臂固持的半導體部件承載器的固持狀態。
此外,在每個凹槽中具有尺寸適配器,尺寸適配器配置以將機械手臂適應地裝配到具有各種尺寸的半導體部件承載器。舉凹槽310為例,位於凹槽310中的尺寸適配器包括寬度控制器315及長度控制器316。當凹槽310沿X方向延伸時,在本範例中之長度控制器316可被調整為適合於(fit)關聯於半導體部件承載器的握柄的一給定的長度L(沿X方向)。此外,在本範例中,寬度控制器315可調整為適合於關聯於半導體部件承載器的握柄的一給定寬度W(沿Y方向)。這樣一來,藉由調整每個尺寸適配器315、316的突出量,承載器搬運裝置300可安全且有效地以適配的方式固持具有不同尺寸的不同承載器。尺寸適配器315、316中的每一個可為可修改的方塊(block),其包括防滑材料,以固定所固持的承載器的握柄。這樣一來,承載器搬運裝置300對於不同的承載器尺寸具有高度的適應性。
第3C圖繪示根據本揭露一些實施例的第3A圖中所示的示例性承載器搬運裝置300的立體圖。如第3C圖所示,耦接到把手102的兩個叉件110、120中的每一個具有近端112、122及遠端114、124,近端112、122比較靠近把手102,遠端114、124比近端112、122更遠離把手102。叉件110具有凹槽310,凹槽310具有近端312及遠端314,其分別對應於叉件110的近端112及遠端114。叉件120具有凹槽320,凹槽320具有近端322及遠端324,其分別對應於叉件120的近端122及遠端124。每個凹槽配置以固持半導體部件承載器的一握柄。
如第3C圖所示,一對壓力感應器318、328分別位於兩個叉件110、120上且位於叉件110、120的相應的凹槽310、320中。如第3C圖所示,一對壓力感應器中的第一個壓力感應器318位於第一凹槽310中,且與第一凹槽310的近端312的距離比與第一凹槽310的遠端314的距離更近;而此對壓力感應器中的第二個壓力感應器328位於第二凹槽320中,且與第二凹槽320的遠端324的距離比與第二凹槽320的近端322的距離更近。壓力感應器配置以基於壓力偵測來確定由機械手臂固持的半導體部件承載器的固持狀態。
第3D圖繪示根據本揭露一些實施例的第3A圖中所示的示例性承載器搬運裝置300的另一立體圖。如第3D圖所示,一對光感應器119、129分別位於兩個叉件110、120上。光感應器119、129中的每一個位於相應的叉件的近端及相應的叉件上的凹槽的近端之間。亦即,光感應器119、129中的每一個位於相應叉件上的凹槽的內側(沿X方向)。此對光感應器配置以基於光偵測來確定由機械手臂固持的半導體部件承載器的固持狀態。
第4圖繪示根據本揭露一些實施例的示例性承載器搬運裝置(例如,第1A圖及第1B圖中的承載器搬運裝置100或第3C圖及第3D圖中的承載器搬運裝置300)的防撞機構。如第4圖所示,承載器搬運裝置具有位於叉形機械手臂的一個叉件的遠端的感應器150。
在第4圖所示的範例中,當承載器搬運裝置沿-X方向移動以將晶圓承載器盒410放置在裝載埠430的一表面上時,在此表面上存在現有的盒420。在本範例中,感應器150可偵測為在機械手臂的移動路徑上的障礙物的現有的盒420,以避免在機械手臂移動而與現有的盒420或裝載埠430接觸之前碰撞。偵測可能基於超聲波。如果感應器150偵測到障礙物,則承載器搬運裝置將在停止線450之前停止機械手臂,並發送關於偵測到障礙物的報告。承載器搬運裝置可根據指令而等待移除障礙物或繼續將盒410放置在其他地方。這樣一來,感應器150可藉由保護承載器及其中的部件免受損壞並降低報廢率來確保藉由機械手臂移動承載器的安全操作。
第5A圖繪示根據本揭露一些實施例的示例性承載器搬運裝置500的側視圖。如第5A圖所示,成像系統130及感應器150分別位於叉件110及叉件120下方(沿-Z方向)。這節省整個承載器搬運裝置500的空間及尺寸。
第5B圖繪示根據本揭露一些實施例的第5A圖中所示的示例性承載器搬運裝置500的底視圖。如第5B圖所示,成像系統130及感應器150沒有延伸超過叉件110、120的尺寸。
第5C圖繪示根據本揭露一些實施例的第5A圖中所示的具有示例性尺寸值(dimension values)的示例性承載器搬運裝置的上視圖。如第5C圖中所示的範例,把手102沿X方向的長度為110毫米;而叉件110、120沿X方向的長度為305毫米。機械手臂沿Y方向的外部寬度為350毫米。機械手臂沿Y方向的內部寬度(亦即,兩個叉件110、120之間的距離)為276毫米。
由於每個叉件具有凹槽,凹槽510、520中的每一個沿X方向的長度可為148毫米。凹槽510的近端及叉件110的近端112之間沿著X方向的距離為97毫米。另外,凹槽510、520分別具有彼此面對的側壁511、521。在本範例中,沿著Y方向的側壁511、521之間的距離為314毫米。因為在每個凹槽中有尺寸適配器,用以使承載器搬運裝置500適合於固持各種尺寸的半導體部件承載器,在本範例中之承載器搬運裝置500可安全地固持具有包括把手在內的沿Y方向的總寬度在約280毫米及314毫米之間且具有握柄長度小於148毫米的任何半導體部件承載器。
第6圖為根據本揭露一些實施例的用於搬運半導體部件承載器的示例性方法600的流程圖。如第6圖所示,在操作602中,固持可操作以固持複數個半導體部件的半導體部件承載器。在操作604中,自動定位在將放置半導體部件承載器的表面上的目標位置。在操作606中,基於目標位置而將半導體部件承載器放置在表面上。可根據本揭露的不同實施例來改變第6圖中所示的操作順序。
第7圖為根據本揭露一些實施例的用於檢驗半導體部件承載器的固持狀態的示例性方法700的流程圖。如第7圖所示,在操作702中,偵測到至少一壓力訊號,每個壓力訊號表示是否存在有施加在機械手臂上的半導體部件承載器的壓力。在操作704中,偵測到指示在機械手臂的叉件之間是否存在有半導體部件承載器的光訊號。在操作706中,確定是否所有訊號(至少一壓力訊號及光訊號)指示半導體部件承載器的存在。如果是,則過程進行到操作708,在操作708中,確定半導體部件承載器被機械手臂成功地固持。如果否,則過程進行到操作710,在操作710中,報告固持狀態錯誤。可根據本揭露的不同實施例來改變第7圖中所示的操作順序。
根據本揭露一些實施例,提供一種用於搬運半導體部件承載器之設備,包括:一機械手臂以及一成像系統。機械手臂配置以固持一半導體部件承載器。成像系統耦接到機械手臂,且配置以在將放置半導體部件承載器之一表面上自動定位一目標位置。
在一實施例中,半導體部件承載器可操作以固持複數個半導體部件。半導體部件中之每一者包括以下至少之一者:一半導體晶圓以及一半導體裸晶。在一實施例中,成像系統包括一相機,相機配置以拍攝表面上之一光學代碼之一圖片。光學代碼指示在表面上之目標位置。在一實施例中,成像系統更包括一光源,光源與相機相鄰,且配置以發射光,以供相機拍攝圖片。在一實施例中,機械手臂具有一叉形,機械手臂包括一把手以及耦接到把手之兩個叉件。叉件彼此平行。叉件中之每一者具有耦接到把手之一近端以及比近端更遠離把手之一遠端。在一實施例中,成像系統位於叉件中之一第一個叉件之遠端。在一實施例中,用於搬運半導體部件承載器之設備更包括一感應器,位於叉件中之一第二個叉件之遠端,且配置以偵測在機械手臂之一移動路徑上之一障礙物,以避免與障礙物碰撞。在一實施例中,叉件之每一者具有在叉件之近端及遠端之間之一凹槽。各叉件上之凹槽具有一近端以及一遠端,分別對應於叉件之近端及遠端。各凹槽配置以固持半導體部件承載器之一握柄。在一實施例中,用於搬運半導體部件承載器之設備更包括一對壓力感應器,壓力感應器中之每一者位於叉件中之相應的一者上,且位於叉件之相應的凹槽中,其中壓力感應器配置以基於壓力偵測來確定由機械手臂固持之半導體部件承載器之一固持狀態。在一實施例中,此對壓力感應器中之一第一個壓力感應器位於凹槽中之一第一凹槽中,且與第一凹槽之近端之一距離比與第一凹槽之遠端之一距離更近。此對壓力感應器中之一第二個壓力感應器位於凹槽中之一第二凹槽中,且與第二凹槽之遠端之一距離比與第二凹槽之近端之一距離更近。在一實施例中,用於搬運半導體部件承載器之設備更包括一尺寸適配器,位於凹槽之每一者中,且配置以將機械手臂適應地裝配到具有各種尺寸之半導體部件承載器上。在一實施例中,用於搬運半導體部件承載器之設備更包括一對光感應器,光感應器之每一者位於叉件中之相應的一者上,且位於相應的叉件之近端及在相應的叉件上之凹槽之近端之間,其中此對光感應器配置以基於光偵測來確定由機械手臂固持之半導體部件承載器之一固持狀態。
根據本揭露另一些實施例,提供一種用於搬運半導體部件承載器之設備,包括:一機械手臂以及一對壓力感應器。機械手臂配置以固持一半導體部件承載器。此對壓力感應器位於機械手臂上,且配置以基於壓力偵測來確定由機械手臂固持之半導體部件承載器之一固持狀態。
在一實施例中,機械手臂具有一叉形,機械手臂包括一把手以及耦接到把手之兩個叉件。叉件中之每一者具有耦接到把手之一近端以及比近端更遠離把手之一遠端。叉件中之每一者具有一凹槽,凹槽位於叉件之近端及遠端之間,且配置以固持半導體部件承載器之一握柄。各叉件上之凹槽具有一近端以及一遠端,分別對應於叉件之近端及遠端。在一實施例中,此對壓力感應器中之每一者位於叉件中之相應一個上,且位於叉件之相應的凹槽中。壓力感應器係配置以基於壓力偵測來確定由機械手臂固持之半導體部件承載器之一固持狀態。在一實施例中,此對壓力感應器中之一第一個壓力感應器位於凹槽中之一第一凹槽中,且與第一凹槽之近端之一距離比與第一凹槽之遠端之一距離更近。此對壓力感應器中之一第二個壓力感應器位於凹槽中之一第二凹槽中,且與第二凹槽之遠端之一距離比與第二凹槽之近端之一距離更近。在一實施例中,用於搬運半導體部件承載器之設備更包括一對光感應器,光感應器中之每一者位於叉件中之相應的一個上,且位於相應的叉件之近端及相應的叉件上之凹槽之近端之間。此對光感應器係配置以基於光偵測來確定由機械手臂固持之半導體部件承載器之一固持狀態。半導體部件承載器係基於此對壓力感應器之壓力偵測及此對光感應器之光偵測,來確定被機械手臂成功地固持。
根據本揭露又另一些實施例,提供一種用於搬運半導體部件承載器之方法,包括:固持一半導體部件承載器、自動定位在將放置半導體部件承載器之一表面上之一目標位置、以及基於目標位置將半導體部件承載器放置在表面上。
在一實施例中,自動定位目標位置之操作包括:向表面上之一光學代碼發射一光、基於光拍攝光學代碼之一圖片、以及基於光學代碼之圖片來確定在表面上之目標位置。在一實施例中,用於搬運半導體部件承載器之方法更包括:偵測表示施加在機械手臂上之半導體部件承載器之一壓力之一壓力訊號、偵測指示在具有一叉形之機械手臂之多個叉件之間存在半導體部件承載器之一光訊號、以及基於壓力訊號及光訊號來確定半導體部件承載器被機械手臂成功地固持。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100、300、500:承載器搬運裝置 102:把手 104:連接器 110、120:叉件 112、122、312、322:近端 114、124、314、324:遠端 115、116:尺寸適配器 118、128、318、328:壓力感應器 119、129:光感應器 130:成像系統、成像系統模組 150:感應器 210:相機 220:光源 250:表面 252:光學代碼 254:目標位置 310、320、510、520:凹槽 315:寬度控制器、尺寸適配器 316:長度控制器、尺寸適配器 390:晶圓承載器盒、盒 391、392:握柄 410:晶圓承載器盒 420:盒 430:裝載埠 450:停止線 511、521:側壁 600、700:方法 602、604、606、702、704、706、708、710:操作
當結合附圖閱讀時,可從以下詳細描述中最佳地理解本揭露的各形式。應注意的是,各種特徵未必按比例繪製。實際上,為了清楚起見,可任意增加或減少各種特徵的尺寸及幾何。在整個說明書及附圖中,相同的參考符號表示相同的特徵。 第1A圖繪示根據本揭露一些實施例的承載器搬運裝置的示例性立體圖。 第1B圖繪示根據本揭露一些實施例的第1A圖中所示的承載器搬運裝置的另一示例性立體圖。 第2圖繪示根據本揭露一些實施例的承載器搬運裝置的示例性成像系統模組。 第3A圖繪示根據本揭露一些實施例的示例性承載器搬運裝置的上視圖。 第3B圖繪示根據本揭露一些實施例的第3A圖中所示的示例性承載器搬運裝置的更詳細的上視圖。 第3C圖繪示根據本揭露一些實施例的第3A圖中所示的示例性承載器搬運裝置的立體圖。 第3D圖繪示根據本揭露一些實施例的第3A圖中所示的示例性承載器搬運裝置的另一立體圖。 第4圖繪示根據本揭露一些實施例的示例性承載器搬運裝置的防撞機構。 第5A圖繪示根據本揭露一些實施例的示例性承載器搬運裝置的側視圖。 第5B圖繪示根據本揭露一些實施例的第5A圖中所示的示例性承載器搬運裝置的底視圖。 第5C圖繪示根據本揭露一些實施例的第5A圖中所示的示例性承載器搬運裝置的上視圖。 第6圖為根據本揭露一些實施例的用於搬運半導體部件承載器的示例性方法的流程圖。 第7圖為根據本揭露一些實施例的用於檢驗半導體部件承載器的固持狀態的示例性方法的流程圖。
100:承載器搬運裝置
102:把手
104:連接器
110、120:叉件
112、122:近端
114、124:遠端
115、116:尺寸適配器
118:壓力感應器
119:光感應器
130:成像系統、成像系統模組
150:感應器

Claims (20)

  1. 一種用於搬運半導體部件承載器之設備,包括: 一機械手臂,配置以固持一半導體部件承載器;以及 一成像系統,耦接到該機械手臂,且配置以在將放置該半導體部件承載器之一表面上自動定位一目標位置。
  2. 如申請專利範圍第1項所述之用於搬運半導體部件承載器之設備,其中: 該半導體部件承載器可操作以固持複數個半導體部件;以及 該等半導體部件中之每一者包括以下至少之一者:一半導體晶圓以及一半導體裸晶。
  3. 如申請專利範圍第1項所述之用於搬運半導體部件承載器之設備,其中: 該成像系統包括一相機,該相機配置以拍攝該表面上之一光學代碼之一圖片;以及 該光學代碼指示在該表面上之該目標位置。
  4. 如申請專利範圍第3項所述之用於搬運半導體部件承載器之設備,其中: 該成像系統更包括一光源,該光源與該相機相鄰,且配置以發射光,以供該相機拍攝該圖片。
  5. 如申請專利範圍第1項所述之用於搬運半導體部件承載器之設備,其中: 該機械手臂具有一叉形,該機械手臂包括一把手以及耦接到該把手之兩個叉件; 該等叉件彼此平行;以及 該等叉件中之每一者具有耦接到該把手之一近端以及比該近端更遠離該把手之一遠端。
  6. 如申請專利範圍第5項所述之用於搬運半導體部件承載器之設備,其中: 該成像系統位於該等叉件中之一第一個叉件之該遠端。
  7. 如申請專利範圍第5項所述之用於搬運半導體部件承載器之設備,更包括: 一感應器,位於該等叉件中之一第二個叉件之該遠端,且配置以偵測在該機械手臂之一移動路徑上之一障礙物,以避免與該障礙物碰撞。
  8. 如申請專利範圍第5項所述之用於搬運半導體部件承載器之設備,其中: 該等叉件之每一者具有在該叉件之該近端及該遠端之間之一凹槽; 各該叉件上之該凹槽具有一近端以及一遠端,分別對應於該叉件之該近端及該遠端;以及 各該凹槽配置以固持該半導體部件承載器之一握柄。
  9. 如申請專利範圍第8項所述之用於搬運半導體部件承載器之設備,更包括: 一對壓力感應器,該等壓力感應器中之每一者位於該等叉件中之相應的一者上,且位於該叉件之相應的該凹槽中,其中該等壓力感應器配置以基於壓力偵測來確定由該機械手臂固持之該半導體部件承載器之一固持狀態。
  10. 如申請專利範圍第9項所述之用於搬運半導體部件承載器之設備,其中: 該對壓力感應器中之一第一個壓力感應器位於該等凹槽中之一第一凹槽中,且與該第一凹槽之該近端之一距離比與該第一凹槽之該遠端之一距離更近;以及 該對壓力感應器中之一第二個壓力感應器位於該等凹槽中之一第二凹槽中,且與該第二凹槽之該遠端之一距離比與該第二凹槽之該近端之一距離更近。
  11. 如申請專利範圍第8項所述之用於搬運半導體部件承載器之設備,更包括: 一尺寸適配器,位於該等凹槽之每一者中,且配置以將該機械手臂適應地裝配到具有各種尺寸之半導體部件承載器上。
  12. 如申請專利範圍第8項所述之用於搬運半導體部件承載器之設備,更包括: 一對光感應器,該等光感應器之每一者位於該等叉件中之相應的一者上,且位於該相應的叉件之該近端及在該相應的叉件上之該凹槽之該近端之間,其中該對光感應器配置以基於光偵測來確定由該機械手臂固持之該半導體部件承載器之一固持狀態。
  13. 一種用於搬運半導體部件承載器之設備,包括: 一機械手臂,配置以固持一半導體部件承載器;以及 一對壓力感應器,位於該機械手臂上,且配置以基於壓力偵測來確定由該機械手臂固持之該半導體部件承載器之一固持狀態。
  14. 如申請專利範圍第13項所述之用於搬運半導體部件承載器之設備,其中: 該機械手臂具有一叉形,該機械手臂包括一把手以及耦接到該把手之兩個叉件; 該等叉件中之每一者具有耦接到該把手之一近端以及比該近端更遠離該把手之一遠端; 該等叉件中之每一者具有一凹槽,該凹槽位於該叉件之該近端及該遠端之間,且配置以固持該半導體部件承載器之一握柄;以及 各該叉件上之該凹槽具有一近端以及一遠端,分別對應於該叉件之該近端及該遠端。
  15. 如申請專利範圍第14項所述之用於搬運半導體部件承載器之設備,其中: 該對壓力感應器中之每一者位於該等叉件中之相應一個上,且位於該叉件之相應的該凹槽中;以及 該等壓力感應器係配置以基於壓力偵測來確定由該機械手臂固持之該半導體部件承載器之一固持狀態。
  16. 如申請專利範圍第15項所述之用於搬運半導體部件承載器之設備,其中: 該對壓力感應器中之一第一個壓力感應器位於該等凹槽中之一第一凹槽中,且與該第一凹槽之該近端之一距離比與該第一凹槽之該遠端之一距離更近;以及 該對壓力感應器中之一第二個壓力感應器位於該等凹槽中之一第二凹槽中,且與該第二凹槽之該遠端之一距離比與該第二凹槽之該近端之一距離更近。
  17. 如申請專利範圍第15項所述之用於搬運半導體部件承載器之設備,更包括: 一對光感應器,該等光感應器中之每一者位於該等叉件中之相應的一個上,且位於該相應的叉件之該近端及在該相應的叉件上之該凹槽之該近端之間,其中: 該對光感應器係配置以基於光偵測來確定由該機械手臂固持之該半導體部件承載器之一固持狀態;以及 該半導體部件承載器係基於該對壓力感應器之壓力偵測及該對光感應器之光偵測,來確定被該機械手臂成功地固持。
  18. 一種用於搬運半導體部件承載器之方法,包括: 固持一半導體部件承載器; 自動定位在將放置該半導體部件承載器之一表面上之一目標位置;以及 基於該目標位置將該半導體部件承載器放置在該表面上。
  19. 如申請專利範圍第18項所述之用於搬運半導體部件承載器之方法,其中自動定位該目標位置之該操作包括: 向該表面上之一光學代碼發射一光; 基於該光拍攝該光學代碼之一圖片;以及 基於該光學代碼之該圖片來確定在該表面上之該目標位置。
  20. 如申請專利範圍第18項所述之用於搬運半導體部件承載器之方法,更包括: 偵測表示施加在該機械手臂上之該半導體部件承載器之一壓力之一壓力訊號; 偵測指示在具有一叉形之該機械手臂之多個叉件之間存在該半導體部件承載器之一光訊號;以及 基於該壓力訊號及該光訊號來確定該半導體部件承載器被該機械手臂成功地固持。
TW108139206A 2018-10-30 2019-10-30 用於搬運半導體部件承載器之設備及方法 TWI731457B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862752835P 2018-10-30 2018-10-30
US62/752,835 2018-10-30
US16/598,136 2019-10-10
US16/598,136 US20200161161A1 (en) 2018-10-30 2019-10-10 Apparatus and methods for handling semiconductor part carriers

Publications (2)

Publication Number Publication Date
TW202031438A true TW202031438A (zh) 2020-09-01
TWI731457B TWI731457B (zh) 2021-06-21

Family

ID=70727117

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108139206A TWI731457B (zh) 2018-10-30 2019-10-30 用於搬運半導體部件承載器之設備及方法

Country Status (2)

Country Link
US (1) US20200161161A1 (zh)
TW (1) TWI731457B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7105629B2 (ja) * 2018-06-20 2022-07-25 東京エレクトロン株式会社 自動教示方法及び制御装置
KR102583574B1 (ko) * 2020-10-30 2023-10-05 세메스 주식회사 캐리지 로봇 및 이를 포함하는 타워 리프트
US20230016251A1 (en) * 2021-07-15 2023-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Compound fork device and system including the same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7670555B2 (en) * 2006-09-08 2010-03-02 Rex A. Hoover Parallel gripper for handling multiwell plate
CN104822888B (zh) * 2012-12-06 2017-05-10 庆国·叶 集成供应链建筑物
US9333649B1 (en) * 2013-03-15 2016-05-10 Industrial Perception, Inc. Object pickup strategies for a robotic device
TWM510835U (zh) * 2015-07-06 2015-10-21 Castec Internat Corp 具萬向夾持手臂之自動搬運裝置
CN107851594B (zh) * 2015-08-28 2021-06-22 株式会社国际电气 基板处理装置以及半导体装置的制造方法
CN107324041B (zh) * 2016-04-29 2019-11-26 上海微电子装备(集团)股份有限公司 用于片盒夹持的机械手及自动片盒搬运装置
US9919430B1 (en) * 2016-12-06 2018-03-20 Jabil Inc. Apparatus, system and method for providing an end effector
JP6923383B2 (ja) * 2017-07-27 2021-08-18 株式会社日立物流 ピッキングロボットおよびピッキングシステム
US10899015B2 (en) * 2017-09-01 2021-01-26 Siemens Aktiengesellschaft Method and system for dynamic robot positioning

Also Published As

Publication number Publication date
US20200161161A1 (en) 2020-05-21
TWI731457B (zh) 2021-06-21

Similar Documents

Publication Publication Date Title
TWI731457B (zh) 用於搬運半導體部件承載器之設備及方法
JP6753950B2 (ja) カセットハンドリングロボットマニピュレータ、及び自動カセット搬送装置
US6715978B2 (en) Interbay transfer interface between an automated material handling system and a stocker
CN109755165B (zh) 容器运送方法及仓储
US20020197136A1 (en) Method and apparatus for aligning the loading/unloading of a wafer cassette to/from a loadport by an overhead hoist transport system
US6848882B2 (en) Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system
US20060184270A1 (en) Calibration cassette pod for robot teaching and method of using
CN107924863B (zh) 搬送系统、搬送机器人及其教导方法
JP2008056450A (ja) 被搬送物保管システム
US6519502B2 (en) Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system
TWI796587B (zh) 用於替換部件存儲容器的映射的方法和電子處理系統
US10046460B2 (en) Robot teaching position correcting method and system
US6453574B1 (en) Method for aligning a cassette pod to an overhead hoist transport system
TW202008496A (zh) 倉儲系統及倉儲方法
CN111128796B (zh) 用于搬运半导体部件承载器的设备及方法
TWI669774B (zh) 晶圓盒、晶圓盒對準系統及晶圓盒對準方法
US9978631B2 (en) Wafer pick-and-place method and system
US11676845B2 (en) Automated teach apparatus for robotic systems and method therefor
US6541787B2 (en) Optically aligning a loadport on a process machine by transmitting light through a system of apertures
US11413767B2 (en) Sensor-based position and orientation feedback of robot end effector with respect to destination chamber
US7261508B2 (en) Method for aligning a loadport to an overhead hoist transport system
TW201833013A (zh) 傳輸系統與方法
US20200033841A1 (en) Methods, apparatus and system for automated reticle movement for semiconductor processing
TWI803991B (zh) 可攜式機器人半導體吊艙裝載機及其執行方法
US7810645B2 (en) Paddle for securely mounting a wafer cassette holder thereto