TW202016774A - 電子裝置及積體電路的佈局方法 - Google Patents

電子裝置及積體電路的佈局方法 Download PDF

Info

Publication number
TW202016774A
TW202016774A TW107136823A TW107136823A TW202016774A TW 202016774 A TW202016774 A TW 202016774A TW 107136823 A TW107136823 A TW 107136823A TW 107136823 A TW107136823 A TW 107136823A TW 202016774 A TW202016774 A TW 202016774A
Authority
TW
Taiwan
Prior art keywords
layout
density
size
virtual
block
Prior art date
Application number
TW107136823A
Other languages
English (en)
Other versions
TWI712904B (zh
Inventor
黃建清
曾士珉
Original Assignee
華邦電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 華邦電子股份有限公司 filed Critical 華邦電子股份有限公司
Priority to TW107136823A priority Critical patent/TWI712904B/zh
Priority to US16/655,177 priority patent/US10755022B2/en
Publication of TW202016774A publication Critical patent/TW202016774A/zh
Application granted granted Critical
Publication of TWI712904B publication Critical patent/TWI712904B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/20Configuration CAD, e.g. designing by assembling or positioning modules selected from libraries of predesigned modules

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種電子裝置及積體電路的佈局方法。積體電路的佈局方法包括:接收佈局資訊,解析佈局資訊並獲得積體電路中的多個空白區域;預設多個虛擬區塊,虛擬區塊具有不同的尺寸;依據各空白區域的尺寸,選擇虛擬區塊的至少其中之一,以對各空白區域的中心位置進行填入動作,並產生更新後佈局資訊;針對更新後佈局資訊執行佈局密度檢查來獲得檢查結果;以及,依據檢查結果以縮減在積體電路中的多個設定虛擬區塊的尺寸,並產生輸出佈局資訊。

Description

電子裝置及積體電路的佈局方法
本發明是有關於一種電子裝置以及所執行的積體電路的佈局方法,且特別是有關於一種可易於調整佈局密度的電子裝置及所執行的佈局方法。
在積體電路的佈局中,佈局工程師為使晶片具有最大的利用率,會傾向以相對高的密度來進行電路的佈局。在完成主要電路的佈局動作後,佈局工程師並會針對積體電路中的空白區域的部分,進行虛擬區塊的填入動作。在所有的空白區域皆完成虛擬區塊的填入動作後,積體電路可能因為佈局密度過高,而無法滿足設計/佈局規範的需求。在這樣的狀況下,佈局工程師只能手動針對各個空白區域進行手動調整,以求滿足設計/佈局規範的需求。
上述的佈局調整方法需要耗費大量的人力,且佈局工程師的手動調整動作未必能一次到位,常需要多次反覆的調整動作,方能滿足設計/佈局規範的需求,浪費時間以及人力。
本發明提供一種電子裝置及所執行的積體電路的佈局方法,其可易於調整佈局密度。
本發明的積體電路的佈局方法包括:接收佈局資訊,解析佈局資訊並獲得積體電路中的多個空白區域;預設多個虛擬區塊,虛擬區塊具有不同的尺寸;依據各空白區域的尺寸,選擇虛擬區塊的至少其中之一,以對各空白區域的中心位置進行填入動作,並產生更新後佈局資訊;針對更新後佈局資訊執行佈局密度檢查來獲得檢查結果;以及,依據檢查結果以縮減在積體電路中的多個設定虛擬區塊的尺寸,並產生輸出佈局資訊。
本發明的電子裝置用以執行積體電路的佈局動作。電子裝置包括記憶體以及處理器。記憶體用以儲存佈局資訊,以及預先設定的多個虛擬區塊的佈局資訊,其中,虛擬區塊具有不同的尺寸。處理器用以:接收佈局資訊,解析佈局資訊並獲得積體電路中的多個空白區域;依據各空白區域的尺寸,選擇虛擬區塊的至少其中之一,以對各空白區域的中心位置進行填入動作,並產生更新後佈局資訊;針對更新後佈局資訊執行佈局密度檢查來獲得檢查結果;依據檢查結果以縮減在積體電路中的多個設定虛擬區塊的尺寸,並產生輸出佈局資訊。
基於上述,本發明藉由在積體電路中的空白區域填入不同尺寸的虛擬區塊,並透過調整其中的設定虛擬區塊的尺寸,以自動化的調整積體電路的佈局密度。如此一來,積體電路的佈局密度的調整動作,可藉由自動化的方式來完成,免去人工調整的麻煩,並使積體電路的佈局密度可更精確的被設定。
為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。
請參照圖1,步驟S110接收佈局資訊,並藉由解析佈局資訊並獲得積體電路中的多個空白區域。在此,佈局資訊可以為圖形資料系統(Graphic Database System,GDSII)格式的相關資訊,用以記錄積體電路佈局的平面的幾何形狀、文字標籤,以及關於結構組成的相關信息。關於空白區域的解析細節,可先依據佈局資訊,來取得積體電路中的第一雜亂空白區域(如圖3A所示),並找出第一雜亂空白區域中具有最大面積的第一矩形。接著,將第一矩形自第一雜亂空白區域移除,以更新而取得第二雜亂空白區域,再自第二雜亂空白區域中找出具有最大面積的第二矩形。透過重複執行上述步驟,直到具有最大面積的第N+1矩形的面積小於預定目標,可完成空白區域的解析動作。其中前述第一矩形至第N矩形即為空白區域。
以下請同時參照圖1以及圖3B,圖3B是佈局資訊解析完的結果。在圖3B中,積體電路100中的多個空白區域110~190、1100、1110以及1120被識別出。被識別出的空白區域110~190、1100、1110以及1120可以透過矩形的形式進行框架。空白區域110~190、1100、1110以及1120可具有相同或不相同的尺寸。
接著,在步驟S120中,則進行多個虛擬區塊的預設動作,在此請同步參照圖1以及圖2A至圖2C,在圖2A中,虛擬區塊210可以包括第一密度區塊DA1。第一密度區塊DA1可以透過積體電路的主動區元件來形成。在本實施方式中,除包括第一密度區塊DA1,虛擬區塊210可包括一定尺寸的空白區BA1。
在圖2B中,虛擬區塊220包括第一密度區塊DA1、第二密度區塊DA2以及空白區BA1。第二密度區塊DA2設置在第一密度區塊DA1的周圍,並環繞第一密度區塊DA1。值得一提的,虛擬區塊220的尺寸大於虛擬區塊210的尺寸。第二密度區塊DA2例如可由積體電路中的多晶矽層來形成。
在圖2C中,虛擬區塊230包括第一密度區塊DA1、第二密度區塊DA2、多個第三密度區塊DA31~DA33以及空白區BA1。第二密度區塊DA2設置在第一密度區塊DA1的邊緣。第三密度區塊DA31~DA33則被插入至第二密度區塊DA2中。第一密度區塊DA1以及第三密度區塊DA31~DA33可具有相同的佈局密度,並可以透過積體電路的主動區元件來形成。第二密度區塊DA2例如可由積體電路中的多晶矽層來形成。在本發明部分實施例中,虛擬區塊230可以不具有第二密度區塊DA2,或也可以不具有第三密度區塊DA31~DA33。另外,在虛擬區塊230包括第三密度區塊DA31~DA33的情況下,第三密度區塊DA31~DA33的數量可以是一個、兩個或是三個以上,圖2C繪示的第三密度區塊DA31~DA33的數量(3個)僅只是說明範例,不用以限縮本發明的範疇。值得一提的,虛擬區塊230的尺寸大於虛擬區塊220的尺寸,虛擬區塊220的尺寸大於虛擬區塊210的尺寸。
在本實施例中,虛擬區塊210、虛擬區塊220以及虛擬區塊230有不同的佈局密度。
接著,請重新參照圖1,在步驟S130中,則依據積體電路中,各空白區域的尺寸,選擇虛擬區塊的至少其中之一,以對各空白區域的中心位置進行填入動作,並產生更新後佈局資訊。在此請同步參照圖1以及圖4,在圖4中,以空白區域140為範例,空白區域140中被填入多個相同尺寸的虛擬區塊DB1。而以空白區域110為範例,空白區域110則被填入多個虛擬區塊DB1以及多個虛擬區塊DB2,其中的虛擬區塊DB1以及虛擬區塊DB2的尺寸不相同。
在此請注意,關於虛擬區塊的填入動作,在本發明實施例中,可依據多個預設的虛擬區塊來分別建立多個框架,其中,框架可依據分別對應的虛擬區塊的邊來建立。並且,在執行填入虛擬區塊至空白區域時,可透過將被選中虛擬區塊對應的框架填入空白區域即可。
關於虛擬區塊的填入動作的細節,可依據各空白區域的尺寸以及一第一框架的尺寸來計算出N個可容納數量,針對各空白區域填入N個該第一框架,其中N為不小於0的整數。在細節上,先計算出為矩形的空白區域中的長邊與短邊尺寸,並計算出第一框架在長邊的可容納數量(=X)與第一框架在短邊的可容納數量(=Y),,並藉以決定最大的第一框架的數量(X*Y=N),並將最大框架數量置中的填入至矩形空白區域內。接著,各空白區域可產生一個或多個子空白區域,本發明實施例則可依據子空白區域的尺寸以及一第二框架的尺寸來計算出M個可容納數量。再針對子空白區域依序填入M個具有較小尺寸的第二框架。
透過針對積體電路中的各個空白區域,重複執行上述的填入動作,可使積體電路中的各個空白區域填入虛擬區塊,完成步驟S130,並產生更新後佈局資料。
請重新參照圖1,步驟S140則針對更新後佈局資料執行佈局密度檢查的動作,若檢查結果指示積體電路的佈局密度高於預設的一臨界值時,則執行步驟S150,以依據檢查結果以縮減在積體電路中的多個設定虛擬區塊的尺寸,並產生輸出佈局資訊。
關於步驟S150的實施細節,可同步參照圖1、圖5以及圖6,在當檢查結果指示積體電路的佈局密度高於預設的一臨界值時,可設定在積體電路中的虛擬區塊中,相鄰的多個虛擬區塊的其中之一為設定虛擬區塊。在圖5中,相鄰的二虛擬區塊的其中之一被設定為設定虛擬區塊。舉例來說明,在積體電路100中,以空白區域140為範例,其中的虛擬區塊DB1A以及虛擬區塊DB1B在水平方向相鄰。因此,可設定虛擬區塊DB1A為設定虛擬區塊,而設定虛擬區塊DB1B為非設定虛擬區塊。另外,虛擬區塊DB1A以及虛擬區塊DB1C在垂直方向相鄰,且在虛擬區塊DB1A已被設定為設定虛擬區塊,因此,虛擬區塊DB1C可被設定為非設定虛擬區塊。依此類推,虛擬區塊DB1D可被設定為設定虛擬區塊。
上述的臨界值可以由積體電路生產工廠所提供的設計規範及/或佈局規範來獲得。
在圖5中,以虛框形式表示的虛擬區塊為設定虛擬區塊,相對的,以實框形式表示的虛擬區塊則為非設定虛擬區塊。在實際的設定細節上,可分別針對設定虛擬區塊以及非設定虛擬區塊進行圖形資料系統(GDS)號碼的設定動作。其中,所有的設定虛擬區塊的GDS號碼可以為1,所有的非設定虛擬區塊的GDS號碼可以為0,並藉以識別虛擬區塊的種類。
附帶一提的,設定虛擬區塊的設定方式,也可透過使相鄰的三個虛擬區塊的其中之一或其中之二為設定虛擬區塊,或使相鄰的四個虛擬區塊的其中之一、其中之二或其中之三為設定虛擬區塊,沒有特別的限制。
附帶一提的,關於上述的設定虛擬區塊以及非設定虛擬區塊的動作,在本發明其他實施例中,可以針對虛擬區塊對應的框架來執行。其中,當空白區域中僅填入框架的相關資訊時,則可設定相鄰的多個框架的其中之一為設定框架,其中之另一為非設定框架。相對應的,上述的圖形資料系統號碼的設定動作則可針對設定框架以及非設定框架來進行。
接著,在圖6中,則進行設定虛擬區塊的尺寸縮減動作。其中,在積體電路100中,以空白區域140為範例,原設定虛擬區塊DB1A以及DB1D的位置被置換為具有相對小尺寸的取代虛擬區塊DB1A’以及DB1D’,而為非設定虛擬區塊DB1B以及DB1C則維持不變更。在本實施例中,取代虛擬區塊DB1A’以及DB1D’可以為圖2B的虛擬區塊220,虛擬區塊DB1B以及DB1C可以為圖2C的虛擬區塊230。
透過使設定虛擬區塊DB1B以及DB1C進行區塊置換的動作,原配置虛擬區塊DB1B以及DB1C的位置可多出較多面積的空白區,也因此,積體電路100的佈局密度可以自動被調降。
附帶一提的,若當針對空白區域所進行的填入動作,是透過虛擬區塊對應的框架來執行時,當進行虛擬區塊的置換動作時,可針對所對應的框架進行置換即可。
在步驟S150完成後,符合規範需求的輸出佈局資訊可被有效產生。
附帶一提的,在完成產出輸出佈局資訊的步驟後,可依據輸出佈局資訊產生積體電路的佈局密度分佈資訊。佈局密度分佈資訊可數據的方式呈現,並透過數據分析的方式,以提供佈局工程師及/或設計工程師進行分析。
此外,輸出佈局資訊為可符合規範的資訊。因此,輸出佈局資訊可被提供以製作光罩,並由半導體製造工廠生產出實體的積體電路。
由上述說明不難得知,本發明實施例的佈局方法,可透過自動化的方式,來針對積體電路的佈局密度進行調整,使其符合規範。如此一來,可免去人工調整的麻煩,快速且準確的完成佈局密度的調整動作。
以下請參照圖7,圖7繪示本發明另一實施例的佈局方法的流程圖。其中,步驟S810識別積體電路中的空白區域,接著,步驟S820依據各個空白區域的中心位置,來執行虛擬區塊的填入動作。步驟S820可先針對空白區域以依據虛擬區塊的框架來進行填入動作,並在步驟S820完成後,再執行步驟S830使虛擬區塊的框架與其對應的佈局資訊進行映射動作,以將虛擬區塊實際的佈局內容填入至空白區域中。在完成所有的虛擬區塊的框架以及佈局資訊的映射動作後,可產生更新後佈局資訊(步驟S840)。
步驟S850可執行佈局密度的檢查,並檢查積體電路的佈局密度是否過高,當積體電路的佈局密度過高時,執行步驟S860以進行虛擬區塊的置換動作。透過將密度相對大的虛擬區塊,置換為密度相對小的取代虛擬區塊,可有效降低積體電路的佈局密度。透過重複的佈局密度的檢查動作,並在當積體電路的佈局密度符合規範時,結束本實施例的佈局動作。
關於上述步驟的多個細節,在前述的實施例已有詳細的說明,在此恕不多贅述。
以下請參照圖8,圖8繪示本發明實施例的電子裝置的示意圖。電子裝置900包括處理器910以及記憶體920。處理器910以及記憶體920相互耦接。記憶體920用以儲存佈局資訊IGDS,以及預先設定的多個虛擬區塊DBN的資訊,其中,虛擬區塊DBN具有不同的尺寸。處理器910由記憶體920接收佈局資訊IGDS以及虛擬區塊DBN的資訊,並執行如前述多個實施例的佈局方法,並藉以調整積體電路的佈局密度。
關於佈局方法的多個實施細節,在前述的實施例已有詳細的說明,在此恕不多贅述。
綜上所述,本發明預設多個虛擬區塊,並使虛擬區塊依據空白區域的中心位置進行填入動作,再透過置換虛擬區塊以調整積體電路的佈局密度。如此一來,積體電路的佈局密度的停整動作不需透過人工的方式來執行,而可以自動化的被執行,提升佈局工作的效率。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。
S110~S150、S810~ S850:積體電路的佈局步驟100:積體電路110~190、1100、1110、1120:空白區域DA1:第一密度區塊DA2:第二密度區塊210、220、230:虛擬區塊BA1:空白區DA31~DA33:第三密度區塊DB1、DB2、DB12、DB13、DB1A、DB1B、DB1C:虛擬區塊DB1A’、DB1D’:取代虛擬區塊900:電子裝置910:處理器920:記憶體IGDS:佈局資訊DBN:虛擬區塊
圖1繪示本發明一實施例的積體電路的佈局方法的流程圖。 圖2A至圖2C繪示本發明實施例的多個虛擬區塊的示意圖。 圖3A、圖3B繪示本發明實施例的佈局方法的一步驟的示意圖。 圖4繪示本發明實施例的佈局方法的另一步驟的示意圖。 圖5以及圖6本發明實施例佈局方法的另一步驟的示意圖。 圖7繪示本發明另一實施例的佈局方法的流程圖。 圖8繪示本發明實施例的電子裝置的示意圖。
S110~S150:積體電路的佈局步驟

Claims (13)

  1. 一種積體電路的佈局方法,包括:     接收一佈局資訊,解析該佈局資訊並獲得該積體電路中的多個空白區域;     預設多個虛擬區塊,該些虛擬區塊具有不同的尺寸;     依據各該空白區域的尺寸,選擇該些虛擬區塊的至少其中之一,以對各該空白區域的中心位置進行填入動作,並產生一更新後佈局資訊;     針對該更新後佈局資訊執行一佈局密度檢查來獲得一檢查結果;以及     依據該檢查結果以縮減在該積體電路中的多個設定虛擬區塊的尺寸,並產生一輸出佈局資訊。
  2. 如申請專利範圍第1項所述的佈局方法,其中依據各該空白區域的尺寸,選擇該些虛擬區塊的至少其中之一,以對各該空白區域的中心位置進行填入動作的步驟包括:     依據該些虛擬區塊的邊,以分別產生多個框架;以及     選擇該些虛擬區塊的至少其中之一,並使被選中虛擬區塊對應的框架,對各該空白區域的中心位置進行填入動作。
  3. 如申請專利範圍第2項所述的佈局方法,其中依據各該空白區域的尺寸,選擇該些虛擬區塊的至少其中之一,以對各該空白區域的中心位置進行填入動作的步驟更包括:     依據各該空白區域的尺寸以及一第一框架的尺寸來計算出N個可容納數量,針對各該空白區域填入N個該第一框架,其中N為不小於0的整數。
  4. 如申請專利範圍第3項所述的佈局方法,其中在該N個第一框架被填入各該空白區域後,產生至少一子空白區,依據各該空白區域的尺寸,選擇該些虛擬區塊的至少其中之一,以對各該空白區域的中心位置進行填入動作的步驟更包括:     依據該子空白區域的尺寸以及一第二框架的尺寸來計算出M個可容納數量,針對該子空白區域填入M個該第二框架,其中M為不小於0的整數。
  5. 如申請專利範圍第4項所述的佈局方法,其中該第一框架的尺寸大於該第二框架的尺寸。
  6. 如申請專利範圍第4項所述的佈局方法,其中依據該檢查結果以縮減在該積體電路中的該些設定虛擬區塊的尺寸的步驟包括:     使該些設定虛擬區塊對應的多個設定框架分別為多個的二框架取代,     其中各該設定框架的尺寸大於各該第二框架的尺寸。
  7. 如申請專利範圍第1項所述的佈局方法,其中依據該檢查結果以縮減在該積體電路中的該些設定虛擬區塊的尺寸的步驟包括:     設定在該積體電路中的該些虛擬區塊中,相鄰的該些虛擬區塊的至少其中之一為設定虛擬區塊;     當該檢查結果指示該積體電路的佈局密度高於一臨界值時,置換各該些設定虛擬區塊為一取代虛擬區塊,     其中,該取代虛擬區塊的密度小於對應的各該設定虛擬區塊的密度。
  8. 如申請專利範圍第1項所述的佈局方法,其中該輸出佈局資訊提供以作為製造該積體電路的資訊。
  9. 如申請專利範圍第1項所述的佈局方法,更包括:     依據該輸出佈局資訊以產生該積體電路的佈局密度分佈資訊。
  10. 如申請專利範圍第1項所述的佈局方法,其中各該虛擬區塊包括一第一密度區塊。
  11. 如申請專利範圍第8項所述的佈局方法,其中各該虛擬區塊更包括一第二密度區塊,其中該第二密度區塊配置在該第一密度區塊邊緣。
  12. 如申請專利範圍第9項所述的佈局方法,其中各該虛擬區塊更包括至少一第三密度區塊,該至少一第三密度區塊配置在該第二密度區塊中,該至少一第三密度區塊與該第一密度區塊具有相同的密度。
  13. 一種電子裝置,用以執行積體電路的佈局動作,包括:     一記憶體,用以儲存一佈局資訊,以及預先設定的多個虛擬區塊的資訊,其中,該些虛擬區塊具有不同的尺寸;以及     一處理器,用以:         接收該佈局資訊,解析該佈局資訊並獲得該積體電路中的多個空白區域;         依據各該空白區域的尺寸,選擇該些虛擬區塊的至少其中之一,以對各該空白區域的中心位置進行填入動作,並產生一更新後佈局資訊;         針對該更新後佈局資訊執行一佈局密度檢查來獲得一檢查結果;以及         依據該檢查結果以縮減在該積體電路中的多個設定虛擬區塊的尺寸,並產生一輸出佈局資訊。
TW107136823A 2018-10-18 2018-10-18 電子裝置及積體電路的佈局方法 TWI712904B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW107136823A TWI712904B (zh) 2018-10-18 2018-10-18 電子裝置及積體電路的佈局方法
US16/655,177 US10755022B2 (en) 2018-10-18 2019-10-16 Electronic apparatus and layout method for integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW107136823A TWI712904B (zh) 2018-10-18 2018-10-18 電子裝置及積體電路的佈局方法

Publications (2)

Publication Number Publication Date
TW202016774A true TW202016774A (zh) 2020-05-01
TWI712904B TWI712904B (zh) 2020-12-11

Family

ID=70281180

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107136823A TWI712904B (zh) 2018-10-18 2018-10-18 電子裝置及積體電路的佈局方法

Country Status (2)

Country Link
US (1) US10755022B2 (zh)
TW (1) TWI712904B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI741718B (zh) * 2020-08-04 2021-10-01 倍利科技股份有限公司 圖像轉換方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7083425B2 (en) * 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7550319B2 (en) * 2005-09-01 2009-06-23 E. I. Du Pont De Nemours And Company Low temperature co-fired ceramic (LTCC) tape compositions, light emitting diode (LED) modules, lighting devices and method of forming thereof
US8072394B2 (en) * 2007-06-01 2011-12-06 National Semiconductor Corporation Video display driver with data enable learning
JP5262065B2 (ja) 2007-10-31 2013-08-14 富士通株式会社 レイアウト設計プログラム、該プログラムを記録した記録媒体、レイアウト設計装置、およびレイアウト設計方法
US7934173B2 (en) * 2008-01-14 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reverse dummy insertion algorithm
CN103853854B (zh) 2012-11-28 2017-02-15 上海华虹宏力半导体制造有限公司 版图中插入填充图形的方法
US10078717B1 (en) * 2013-12-05 2018-09-18 The Mathworks, Inc. Systems and methods for estimating performance characteristics of hardware implementations of executable models
US10423733B1 (en) * 2015-12-03 2019-09-24 The Mathworks, Inc. Systems and methods for sharing resources having different data types
US10936769B2 (en) * 2016-06-01 2021-03-02 The Mathworks, Inc. Systems and methods for measuring error in terms of unit in last place
JP2019087206A (ja) * 2017-11-10 2019-06-06 富士通株式会社 情報処理装置、情報処理方法及びプログラム
US10990723B2 (en) * 2018-03-14 2021-04-27 The Regents Of The University Of California Techniques for improving security of circuitry designs based on a hardware description language

Also Published As

Publication number Publication date
TWI712904B (zh) 2020-12-11
US10755022B2 (en) 2020-08-25
US20200125692A1 (en) 2020-04-23

Similar Documents

Publication Publication Date Title
US20230341765A1 (en) Method and system for layout enhancement based on inter-cell correlation
KR100831271B1 (ko) 물리적 레이어의 프로그램적 생성을 통한 물리적 레이아웃 데이터를 변경하는 방법
US20210224954A1 (en) Image processing method and device, storage medium and computer device
CN105975644B (zh) 设计半导体集成电路的方法、系统及计算机程序产品
CN112233115B (zh) 基于深度学习的布局后布线违例预测方法及可读存储介质
KR102355187B1 (ko) 묘화 데이터 작성 방법 및 하전 입자빔 묘화 장치
US10289141B2 (en) Method for generating power distribution network (PDN) model, and power distribution network analysis method and device
CN112818632B (zh) 芯片的图形密度的分析方法、装置及电子设备
JP3934919B2 (ja) マスクブランクスの選択方法、露光マスクの形成方法、および半導体装置の製造方法
TWI712904B (zh) 電子裝置及積體電路的佈局方法
CN107153719B (zh) 一种冗余金属填充方法的方法及系统
TW201926217A (zh) 針對設計半導體裝置的資源規劃的方法、系統及儲存媒介
US11552067B2 (en) Semiconductor cell blocks having non-integer multiple of cell heights
CN111259613B (zh) 电子装置及集成电路的布局方法
CN107958112B (zh) 一种模拟内部版图图形的边缘冗余图形生成方法
US7370303B2 (en) Method for determining the arrangement of contact areas on the active top side of a semiconductor chip
CN111753485B (zh) 基于fpga的掩膜可编程逻辑门阵列定制方法
CN113990777A (zh) 良率晶圆图的形成方法及装置、可读存储介质、终端
US11092885B2 (en) Manufacturing methods of semiconductor devices
JP7238218B2 (ja) マスクパターン製造装置及びマスクパターン製造方法
US11373899B2 (en) Pattern generation device, pattern generation method, and method of manufacturing semiconductor device
US8972909B1 (en) OPC method with higher degree of freedom
US20200201954A1 (en) Method of designing a layout for a semiconductor integrated circuit
JP3182272B2 (ja) 半導体集積回路の論理回路の動作検証システム
US20150332449A1 (en) Method and apparatus for integrated circuit design