TW202002119A - 程序及計量控制、基於景觀資訊之程序指標及根本原因分析工具 - Google Patents

程序及計量控制、基於景觀資訊之程序指標及根本原因分析工具 Download PDF

Info

Publication number
TW202002119A
TW202002119A TW108113956A TW108113956A TW202002119A TW 202002119 A TW202002119 A TW 202002119A TW 108113956 A TW108113956 A TW 108113956A TW 108113956 A TW108113956 A TW 108113956A TW 202002119 A TW202002119 A TW 202002119A
Authority
TW
Taiwan
Prior art keywords
landscape
wafer
stage
parameters
landscape information
Prior art date
Application number
TW108113956A
Other languages
English (en)
Other versions
TWI773894B (zh
Inventor
羅伊 弗克維奇
亞尼夫 阿布拉摩維茲
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW202002119A publication Critical patent/TW202002119A/zh
Application granted granted Critical
Publication of TWI773894B publication Critical patent/TWI773894B/zh

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/401Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for measuring, e.g. calibration and initialisation, measuring workpiece for machining purposes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37224Inspect wafer
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Human Computer Interaction (AREA)
  • Quality & Reliability (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • General Factory Administration (AREA)
  • Stored Programmes (AREA)

Abstract

本發明提供方法及計量模組,其等自經生產晶圓導出在其中識別生產程序改變之指示之景觀資訊(表達(若干)計量度量與量測參數之間之(若干)關係),且相對於該等經識別指示修改生產程序參數以將該生產程序維持於指定要求內。可在(若干)晶圓、(若干)晶圓批及批次中偵測程序改變且該資訊可用於相對於生產工具及步驟偵測該等改變之根本原因且指示工具老化及所需維護。該資訊及其分析可進一步用於最佳化工作點參數,最佳化裝置及/或目標之設計及/或例如使用訓練晶圓訓練對應演算法以執行該識別。

Description

程序及計量控制、基於景觀資訊之程序指標及根本原因分析工具
本發明係關於計量之領域且更特定言之,係關於使用景觀資訊進行程序控制。
計量量測用於提供關於程序充分程度之指示且根據相對於設定及量測參數最佳化之量測配方實行。
以下係提供本發明之一初步理解之一簡明概要。該概要未必識別關鍵元件亦不限制本發明之範疇,而僅充當對於以下描述之一導論。
本發明之一個態樣提供一種方法,其包括:自經生產晶圓導出景觀資訊;在該經導出景觀資訊中識別生產程序改變之指示;及相對於該等經識別指示修改生產程序參數以將該生產程序維持於指定要求內,其中該景觀資訊包括至少一個計量度量與至少一個配方或量測參數之間之一關係,且其中該導出、該識別及該修改之至少一者由至少一個電腦處理器實行。
本發明之此等、額外及/或其他態樣及/或優點在下文之詳細描述中加以闡述;可能可自詳細描述推斷;及/或可藉由實踐本發明而學習。
在以下描述中,描述本發明之各種態樣。出於解釋之目的,闡述特定組態及細節以便提供本發明之一透徹理解。然而,熟習此項技術者亦將明白,可在無本文中呈現之具體細節之情況下實踐本發明。此外,可能已省略或簡化熟知特徵以免使本發明不清楚。具體參考圖式,應強調,所展示細項係藉由實例且僅用於闡釋性論述本發明之目的,且為了提供據信係本發明之原理及概念態樣之最有用且容易理解之描述之原因而呈現。在此方面,不嘗試展示比基本理解本發明所需之細節更詳細之本發明之結構細節,結合圖式進行之描述使熟習此項技術者瞭解實務上可如何體現本發明之若干形式。
在詳細解釋本發明之至少一項實施例之前,應理解,本發明在其應用上不限於以下描述中闡述或圖式中繪示之組件之構造及配置之細節。本發明可應用於可以各種方式實踐或實行之其他實施例以及所揭示實施例之組合。又,應理解,本文中採用之片語及術語係為了描述之目的且不應視為限制性。
除非另有明確陳述,否則如自以下論述顯而易見,應瞭解,在整個說明書中利用諸如「處理」、「運算」、「計算」、「判定」、「增強」、「導出」或類似者之術語的論述係指一電腦或運算系統或類似電子運算裝置(其將表示為運算系統之暫存器及/或記憶體內之物理(諸如電子)量的資料操縱及/或變換為類似表示為運算系統之記憶體、暫存器或其他此等資訊儲存、傳輸或顯示裝置內之物理量的其他資料)之動作及/或程序。在某些實施例中,照明技術可包括視覺範圍中之電磁輻射、紫外或甚至更短波輻射(諸如x射線)及可能甚至粒子束。
提供方法及計量模組,其等自經生產晶圓導出在其中識別生產程序改變之指示之景觀資訊(表達(若干)計量度量與量測參數之間之(若干)關係),且相對於經識別指示修改生產程序參數以將生產程序維持於指定要求內。可在(若干)晶圓、(若干)晶圓批及批次中偵測程序改變且資訊可用於相對於生產工具及步驟偵測改變之根本原因且指示工具老化及所需維修。資訊及其分析可進一步用於最佳化工作點參數,最佳化裝置及/或目標之設計及/或(例如)使用訓練晶圓訓練對應演算法以執行識別。某些實施例包括使用資訊以將根本原因分析應用至生產流程及/或將區域分析應用至晶圓(例如,使用晶圓上之波長光譜訊符)。
本發明之實施例提供用於改良半導體生產程序之有效且經濟的方法且藉此提供對半導體計量之技術領域之改良。有利地,雖然當前實踐利用計量旗標以提供程序改變之指標,但所揭示實施例亦使用計量工具景觀資訊作為一程序及/或計量工具之一控制迴路之部分且進一步提供針對程序工具及/或參數改變之源之根本原因分析。可使用任何計量工具以導出景觀資訊,諸如(例如)成像計量工具、散射量測計量工具、SEM (掃描電子顯微術)、電子束技術或任何其他計量技術。
圖1係繪示根據本發明之一些實施例之一方法100之一高階流程圖。可相對於各種計量工具80實行方法階段,計量工具80可視情況經組態以實施方法100。方法100可至少部分由(例如)一計量模組90 (見(例如)下文之圖6A及圖6B)中之至少一個電腦處理器實施。某些實施例包括電腦程式產品,其等包括具有與其一起體現且經組態以實行方法100之相關階段之電腦可讀程式之一電腦可讀儲存媒體。方法100可包括以下步驟而無關於其等之順序。
方法100可包括:使用一或多個計量工具80且相對於複數個經生產晶圓、批及/或批次60及(若干)對應生產工具50及/或其腔室55導出對應於其組合之景觀(或景觀資訊)(階段110);在景觀(或景觀資訊)中識別生產程序改變及偏離之指示(階段120);執行經識別程序改變及偏離之根本原因分析(階段130);視情況使用訓練資料以開發使晶圓圖特性與程序改變參數相關之演算法;及最佳化程序參數、計量目標、程序流程及工作點之任何者(階段140)-如下文進一步詳細解釋。例如,最佳化140可包括相對於經識別指示修改生產程序參數以將生產程序維持於指定要求內,景觀資訊可包括至少一個計量度量與至少一個配方或量測參數之間之一關係(且見下文),且導出110、識別120及最佳化及/或修改140之至少一者可由至少一個電腦處理器實行。
方法100可進一步包括指示工具老化及所需維護(階段150)及可能使用經指定目標以提供指示(階段160)。方法100可進一步包括相對於晶圓圖最佳化裝置及/或目標設計。
在某些實施例中,方法100可進一步包括使用區域分析最佳化工作點參數(階段200),如在下文之圖6A、圖6B中且在美國專利申請案第15/751,514號中呈現,該案之全文以引用的方式併入本文中。
景觀係指一或多個計量度量對一或多個配方及/或量測參數之(若干)相依性,如(例如)美國專利公開案第20160313658號中及WIPO公開案第2016086056號中揭示,該等案之全文以引用的方式併入本文中。貫穿描述使用之一非限制性實例係使用可調諧波長作為量測參數(相對於其導出景觀)。使用波長僅係一非限制性實例,其可由任何其他計量參數替換。景觀或訊符被理解為視覺化(若干)計量度量對(若干)參數之相依性之一方式且不限於連續相依性、分析相依性(可表達為函數)或(例如,以實驗方式、藉由模擬或以分析方式)導出相依性所藉助之特定方式。應注意,參數之任一者可被理解為取決於特定量測設置而具有離散值或連續值。在某些實施例中,景觀包括至少一個計量度量對至少一個配方參數之一至少部分連續相依性或一密集取樣相依性。為了方便解釋,在本文中將景觀及景觀資訊繪示為景觀圖112及與位置相關聯之景觀112之晶圓圖115,而應強調,景觀、景觀資訊或景觀訊符可以不同方式(可能未繪製及/或並非基於晶圓位置之方式)使用。景觀資訊可以以下方式之任何者使用,且本發明不限於僅為了方便使用之晶圓圖表示。
例如,使用波長可調諧性能力之計量工具(作為一非限制性實例)可相對於波長產生每位點景觀資訊。景觀圖112可自工具量測資訊及/或計量度量(諸如疊對、殘差、準確度旗標、強度、反射、靈敏度及其他量測資訊)產生,且可相對於(若干)各種量測參數(諸如在圖2中之一示意性實例中繪示之波長)產生。
圖2係根據本發明之一些實施例之一景觀(或景觀訊符) 112之一高階示意性圖解。在所繪示之非限制性實例中,景觀112係自可調諧計量工具80產生。在各項實施例中,可使用一系列計量技術及對應計量目標(諸如成像、散射量測),使用電子束輻射(及/或x射線、粒子束等)或任何其他計量技術導出景觀112 (階段110)。
在某些實施例中,可(例如)在HVM (大批量製造)、試驗及/或R&D (研發)環境中使用景觀112及其圖作為晶圓內、批間、批次間60之程序改變及偏離之(若干)指標(或用於導出指標)(階段120)。
在某些實施例中,可在HVM、試驗及/或R&D環境中使用景觀112及其圖以提供晶圓內、批間、批次間60及不同程序工具50、不同產品、不同計量目標及裝置架構當中之程序改變及偏離之根本原因分析(階段130)。
在某些實施例中,可使用景觀112及其圖以最佳化與計量程序相關之程序參數、計量目標、程序流程及工作點(階段200,且見下文及在美國專利申請案第15/751,514號中,該案之全文以引用的方式併入本文中)。
在某些實施例中,可使用景觀112及其圖作為執行工具之老化及計量、程序及/或微影工具80、50之所需PM (規劃維護)之(若干)指標(或用於導出指標)(階段150)。
圖3係根據本發明之一些實施例之自景觀圖112建構且與程序特性相關之一晶圓圖115之一實例之一高階示意性圖解。晶圓圖115指示與經量測目標(相對於其量測景觀112)之晶圓60上之空間關係相關之景觀訊符112。在此非限制性實例中,景觀112中之負斜率及正斜率與不對稱程序像差40 (諸如在蝕刻及/或CMP (化學機械處理)步驟中產生之側壁角(SWA))相關且指示不對稱程序像差40。可使用景觀112中之偏移及改變以指示或導出程序改變40 (諸如所繪示不對稱程序變動(光阻SWA改變))之指標(見(例如)階段120)。景觀圖112可按每位點量測且呈現為晶圓圖或場圖115。基於景觀112,晶圓圖115可用於提供程序改變40之指標(如同(且不限於)通過場或晶圓之形狀或偏移)及/或程序改變40之參數(諸如量值、斜率等)。應強調,由晶圓圖115指示之改變可係對稱的(例如,厚度改變)或不對稱的(例如,光阻SWA改變),且所揭示實施例可經組態以指示任何對稱及/或不對稱程序改變且在其等當中進行區分。
圖4係根據本發明之一些實施例之使用自景觀圖112建構且與程序特性相關之晶圓圖115以相對於多個生產工具50及其腔室55執行根本原因分析(見(例如)階段130)之一實例之一高階示意性圖解。在某些實施例中,晶圓圖115可用於基於晶圓圖60中之相關景觀112之分析識別、監測及/或控制(若干)工具50及/或其(若干)腔室55,及與其等相關之經偵測棘手問題。在所繪示之非限制性實例中,繪示各具有多個腔室55之三個工具50A、50B、50C,其等經組態以生產多個晶圓、其晶圓批及/或批次60。繪示經歷對應工具50及腔室55中之生產程序(表示為程序流程52)且導致不同對應晶圓圖115A、115B、115C之由「A」、「B」及「C」表示之三個示意性實例,前兩個實例指示常規生產(由標記「無問題」指示)且後者指示超過指定準則之程序改變(由晶圓圖115C之標記「問題」指示)。基於晶圓圖115之根本原因分析可用於尋查程序改變及/或偏離之原因,例如,使特定程序改變與(若干)特定工具50及/或(若干)腔室55相關。例如,每位點之景觀圖可與程序工具量測及/或參數相互關聯,從而指示誤差源,且亦可用於使用先進演算法(諸如基於機器學習之演算法及其他演算法)量化程序改變之量。具有關於具有已知DOE (實驗設計,例如,厚度改變、引發疊對、幾何改變等)之參考晶圓之景觀資訊之晶圓圖115可用作對具有程序改變之晶圓實施之此等演算法之訓練資料,且可自其計算程序改變值(例如,厚度)(例如,見階段135,其可包括使用應用方法100之訓練晶圓訓練一對應演算法以執行識別110)。
在各項實施例中,可使用晶圓圖115以最佳化程序參數(諸如蝕刻時間、CMP參數等),且最佳化包含計量工具之每個程序工具之工作點(例如,見階段140)。再者,可執行區域分析以提供程序誤差之額外特性化(見下文之圖6A及圖6B且在美國專利申請案第15/751,514號中,該案之全文以引用的方式併入本文中)。
在某些實施例中,可使用包含每晶圓位點之景觀訊符112之晶圓圖資訊以提供與各種工具50、80 (例如,針對計量工具80、程序工具、微影工具50等)之效能改變相關之(若干)指標(例如,見階段150)。例如,可使用場級(112)及晶圓級(115)之景觀訊符以追蹤工具之效能降級且幫助最佳化排程工具維護或任何其他相關活動。客戶亦可使用其等以改良裝置設計或計量目標,如下文揭示。
圖5係根據本發明之一些實施例之使用自景觀圖112建構之晶圓圖115以最佳化裝置及/或目標設計之一實例之一高階示意性圖解。圖5示意性地繪示對應於兩個裝置或目標設計165且指示其等在給定設置下之生產充分程度(分別表示為「有利於生產」及「不利於生產」)之晶圓圖115A、115B。在某些實施例中,可使用基於景觀112之晶圓圖115以識別哪一程序及哪一計量目標設計更佳用於改良各種參數(諸如準確度、穩健性、良率、可靠性及經改良控制之任何者)(例如,見階段170)。
圖6A係根據本發明之一些實施例之具有經組態以在如下文中揭示之各種配方設定及/或量測步驟之任何者期間實行相對於(若干)晶圓60上之各種區域70之區域分析200之一計量模組90之一計量工具80之一高階示意性圖解。
圖6A示意性地繪示各種類型之區域70,諸如一中心區域70A、一邊緣區域70B、一中間、可能部分凸區域70C、互連子區域(叢集)70D等,其等之任何者可在下文揭示之區域分析200中加以識別及利用。區域70可經識別為晶圓60上之數個晶粒65及/或連續或半連續區。
計量模組90可經組態以在配方設定85之各個階段(諸如資料收集階段202、初始選擇階段204、詳細取樣階段206、排名階段208之任何者)期間且相對於一或多個參數87實施區域分析200-如美國專利申請案第15/751,514號中詳細解釋,及/或在實行計量量測88之各個階段期間且相對於量測之(若干)度量及/或(若干)參數89及/或相對於多個晶圓60、批及批次實施區域分析200,如下文揭示。
某些實施例包括在生產期間(在計量量測88中)且非僅針對配方選擇85實施區域分析200。可與程序流程並行收集工具參數且可相對於各種度量及參數實施區域分析200以最佳化計量量測89及/或作為一防護系統用於偵測、監測及校正程序變動及程序偏離,且有利地比先前技術方法更早且更準確地擷取程序變動改變及偏離。
各項實施例包括在應用成像及/或散射量測計量方法或任何其他計量技術之獨立及整合式計量工具80之一者或兩者中實施如本文中揭示之區域分析200。
圖6B係根據本發明之一些實施例之具有經組態以使用可調諧光譜操作之計量模組90之計量工具80之一高階示意性圖解。計量工具80可包括提供在其波長中可係可調諧(可調諧光譜照明)之照明輻射82A之一照明臂82。計量工具80進一步包括接收輻射84A(例如,在成像工具80之場平面處之影像信號及/或在散射量測工具80之光瞳平面處之繞射信號)且將信號遞送至計量模組90以供分析之量測臂84。計量模組90可根據區域70及/或在區域70及/或晶圓60上至少部分空間上連續地(由箭頭70D示意性地指示)實施如本文中揭示之區域分析200。
在照明臂82中具有可調諧光譜之計量工具80中,不同區域之量測條件之間之差異可係無窮小的。可將最佳光譜參數(例如,波長、頻寬、功率)之相依性判定為晶圓位置及/或場位置之一連續函數(由箭頭70D示意性地繪示)。在某些實施例中,可在不同位置處,可能空間上連續地,至少在區域70之一者或一些中最佳化量測條件。
在某些實施例中,可量測與最佳量測條件具有(若干)已知相關性之一或多個程序相關參數,例如,層或元件厚度、臨界尺寸(CD)及/或光相位差。(若干)經量測參數可自另一檢測工具或監測量測(例如,電測試、良率分析)直接量測及/或導出。可相對於一或多個程序相關參數可能至少部分空間上連續地實行區域分析200。
圖7係繪示根據本發明之一些實施例之區域分析之方法200之一高階流程圖。可相對於可視情況經組態以實施方法200之上文描述之計量模組90及區域分析200實行方法階段。可作為上文揭示之方法100之部分實行方法200或其(若干)階段。方法200可至少部分由(例如)一計量模組中之至少一個電腦處理器實施。某些實施例包括電腦程式產品,其等包括具有與其一起體現且經組態以實行方法200之相關階段之電腦可讀程式之一電腦可讀儲存媒體。方法200可包括以下步驟而無關於其等之順序。
方法200可包括跨一或多個晶圓分析(若干)設定參數及/或(若干)計量度量之空間上可變值以提供其區域分析(階段210)且視情況相對於多個晶圓及/或批實行區域分析(階段215)。
方法200可進一步包括使用相對於至少一個設定參數之區域分析實行一或多個配方設定程序(階段220),其中區域分析包括跨至少一個晶圓之至少一個設定參數之空間上可變值。(若干)設定參數可包括(例如)靈敏度、準確度指標、目標品質指標、效能指標(例如,精確度、TIS、匹配、信號品質等)、程序指標(例如,厚度變動、SWA、CD等)等。
方法200可包括在配方設定程序之一排名階段期間應用區域分析(階段222)及/或在一資料收集階段期間及/或在配方設定程序之一詳細取樣階段期間應用區域分析(階段224)。在某些實施例中,方法200可包括針對不同參數及/或度量使用不同經分析晶圓區域(階段230),例如,至少一個設定參數可包括複數個設定參數且區域分析可包括相對於不同參數之不同晶圓區域。在某些實施例中,方法200可包括使用相對於至少一個計量度量之區域分析實行計量量測(見階段210)。至少一個計量度量可包括複數個計量度量且區域分析可包括相對於不同度量之不同晶圓區域(見階段230)。(若干)計量度量可包括(例如)疊對、殘差、工具效能參數及品質度量。
在某些實施例中,可相對於經組態以區分至少一晶圓中心與晶圓邊緣之同心區域實行區域分析。在某些實施例中,可以一空間上連續方式實行區域分析。例如,可相對於可調諧光譜照明實行區域分析(且至少一個設定參數包括一照明波長)。相應地,方法200可包括在可調諧光譜計量應用中實行相對於光譜參數之空間上連續區域分析。
在上文中參考根據本發明之實施例之方法、設備(系統)及電腦程式產品之流程圖圖解及/或部分圖式描述本發明之態樣。應理解,流程圖圖解及/或部分圖式之各部分及流程圖圖解及/或部分圖式中之部分之組合可由電腦程式指令實施。可將此等電腦程式指令提供至一通用電腦、專用電腦或其他可程式化資料處理設備之一處理器以產生一機器,使得經由電腦或其他可程式化資料處理設備之處理器執行之指令建立用於實施流程圖及/或部分圖式或其等之部分中指定之功能/動作之構件。
此等電腦程式指令亦可儲存於一電腦可讀媒體中,該等電腦程式指令可引導一電腦、其他可程式化資料處理設備或其他裝置以一特定方式起作用,使得儲存於電腦可讀媒體中之指令產生一製品,包含實施流程圖及/或部分圖式或其等之部分中指定之功能/動作之指令。
電腦程式指令亦可載入至一電腦、其他可程式化資料處理設備或其他裝置上以引起在電腦、其他可程式化設備或其他裝置上執行一系列操作步驟以產生一電腦實施程序,使得在電腦或其他可程式化設備上執行之指令提供用於實施在流程圖及/或部分圖式或其等之部分中指定之功能/動作之程序。
前述流程圖及圖式繪示根據本發明之各項實施例之系統、方法及電腦程式產品之可能實施方案之架構、功能及操作。在此方面,流程圖或部分圖式中之各部分可表示一模組、片段或程式碼之部分,其包括用於實施(若干)指定邏輯功能之一或多個可執行指令。亦應注意,在一些替代實施方案中,部分中提及之功能可不按圖中提及之順序發生。例如,事實上,取決於所涉及之功能性,連續展示之兩個部分可實質上同時執行,或該等部分有時可按相反順序執行。亦應注意,部分圖式及/或流程圖圖解之各部分及部分圖式及/或流程圖圖解中之部分之組合可由執行指定功能或動作之基於專用硬體之系統或專用硬體及電腦指令之組合實施。
在上文之描述中,一實施例係本發明之一實例或實施方案。「一項實施例」、「一實施例」、「某些實施例」或「一些實施例」之各種出現不一定全部係指相同實施例。雖然可在一單一實施例之背景內容中描述本發明之各種特徵,但該等特徵亦可單獨或以任何適合組合提供。相反地,雖然為了清楚起見本文中在單獨實施例之背景內容中描述本發明,但本發明亦可在一單一實施例中實施。本發明之某些實施例可包含來自上文揭示之不同實施例之特徵,且某些實施例可併入來自上文揭示之其他實施例之元件。不應將在一特定實施例之背景內容中對本發明之元件的揭示視為將其等之用途單獨限於特定實施例中。此外,應理解,本發明可以各種方式實行或實踐且本發明可實施於除了上文描述中概述之實施例之外之某些實施例中。
本發明不限於該等圖式或對應描述。例如,流程不需要進行各所繪示之方塊或狀態或嚴格按照如所繪示且描述之相同順序進行。本文中使用之技術及科學術語之意義如本發明所屬技術之一般技術者所普遍理解,除非另有定義。雖然已關於有限數目個實施例描述本發明,但此等實施例不應理解為對本發明之範疇之限制,而是為一些較佳實施例之例證。其他可能變動、修改及應用亦在本發明之範疇內。因此,本發明之範疇不應由目前為止已描述之內容限制,而是受限於隨附發明申請專利範圍及其等之合法等效物。
40‧‧‧不對稱程序像差/程序改變 50‧‧‧生產工具/程序工具/微影工具 50A‧‧‧工具 50B‧‧‧工具 50C‧‧‧工具 52‧‧‧程序流程 55‧‧‧腔室 60‧‧‧晶圓/晶圓批/晶圓批次 65‧‧‧晶粒 70‧‧‧區域 70A‧‧‧中心區域 70B‧‧‧邊緣區域 70C‧‧‧中間可能部分凸區域 70D‧‧‧互連子區域 80‧‧‧計量工具 82‧‧‧照明臂 82A‧‧‧照明輻射 84‧‧‧量測臂 84A‧‧‧輻射 85‧‧‧配方設定/配方選擇 87‧‧‧參數 88‧‧‧計量量測 89‧‧‧參數/計量量測 90‧‧‧計量模組 100‧‧‧方法 110‧‧‧階段 112‧‧‧景觀圖/景觀 115‧‧‧晶圓圖 115A‧‧‧晶圓圖 115B‧‧‧晶圓圖 115C‧‧‧晶圓圖 120‧‧‧階段 130‧‧‧階段 140‧‧‧階段 150‧‧‧階段 160‧‧‧階段 165‧‧‧目標設計 200‧‧‧階段/區域分析/方法 202‧‧‧資料收集階段 204‧‧‧初始選擇階段 206‧‧‧詳細取樣階段 208‧‧‧排名階段 210‧‧‧階段 215‧‧‧階段 220‧‧‧階段 222‧‧‧階段 224‧‧‧階段 230‧‧‧階段
為了更佳理解本發明之實施例且展示可如何實行本發明之實施例,現將僅藉由實例參考隨附圖式,其中貫穿圖式,相同數字指定對應元件或區段。
在隨附圖式中:
圖1係繪示根據本發明之一些實施例之一方法之一高階流程圖。
圖2係根據本發明之一些實施例之一景觀(或景觀訊符)之一高階示意性圖解。
圖3係根據本發明之一些實施例之自景觀圖建構且與程序特性相關之一晶圓圖之一實例之一高階示意性圖解。
圖4係根據本發明之一些實施例之使用自景觀圖建構且與程序特性相關之晶圓圖以相對於多個生產工具及其腔室執行根本原因分析之一實例之一高階示意性圖解。
圖5係根據本發明之一些實施例之使用自景觀圖建構之晶圓圖以最佳化裝置及/或目標設計之一實例之一高階示意性圖解。
圖6A係根據本發明之一些實施例之具有經組態以在各種配方設定及/或量測步驟之任何者期間實行相對於(若干)晶圓上之各種區域之區域分析之一計量模組之一計量工具之一高階示意性圖解。
圖6B係根據本發明之一些實施例之具有經組態以使用可調諧光譜操作之一計量模組之一計量工具之一高階示意性圖解。
圖7係繪示根據本發明之一些實施例之區域分析之一方法之一高階流程圖。
50‧‧‧生產工具/程序工具/微影工具
55‧‧‧腔室
60‧‧‧晶圓/晶圓批/晶圓批次
100‧‧‧方法
110‧‧‧階段
120‧‧‧階段
130‧‧‧階段
140‧‧‧階段
150‧‧‧階段
160‧‧‧階段
200‧‧‧階段

Claims (11)

  1. 一種方法,其包括: 自經生產晶圓導出景觀資訊, 在該經導出景觀資訊中識別生產程序改變之指示,及 相對於該等經識別指示修改生產程序參數以將該生產程序維持於指定要求內, 其中該景觀資訊包括至少一個計量度量與至少一個配方或量測參數之間之一關係,且 其中該導出、該識別及該修改之至少一者由至少一個電腦處理器實行。
  2. 如請求項1之方法,其中該景觀資訊包括與該等經生產晶圓空間上相關聯之景觀之至少一個晶圓圖。
  3. 如請求項1之方法,其中相對於複數個晶圓、晶圓批及/或批次實行該識別。
  4. 如請求項1之方法,其進一步包括相對於對應生產工具及/或腔室執行該等經識別生產程序改變之根本原因分析。
  5. 如請求項1之方法,其進一步包括使用與跨該等晶圓之該景觀資訊之一空間分佈相關之區域分析最佳化包括該等配方或量測參數之值之工作點參數。
  6. 如請求項1之方法,其進一步包括使用應用該方法之訓練晶圓訓練一對應演算法以執行該識別。
  7. 如請求項1之方法,其進一步包括使用該等經識別指示指示工具老化及所需維護。
  8. 如請求項1之方法,其進一步包括相對於該經導出景觀資訊最佳化裝置及/或目標之設計。
  9. 如請求項1之方法,其中該景觀資訊包括該等晶圓上之波長光譜訊符。
  10. 一種經組態以實行如請求項1之方法之計量模組。
  11. 一種電腦程式產品,其包括具有與其一起體現之電腦可讀程式之一非暫時性電腦可讀儲存媒體,該電腦可讀程式經組態以實行如請求項1之方法。
TW108113956A 2018-06-20 2019-04-22 程序及計量控制、基於景觀資訊之程序指標及根本原因分析工具 TWI773894B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/013,344 2018-06-20
US16/013,344 US10962951B2 (en) 2018-06-20 2018-06-20 Process and metrology control, process indicators and root cause analysis tools based on landscape information

Publications (2)

Publication Number Publication Date
TW202002119A true TW202002119A (zh) 2020-01-01
TWI773894B TWI773894B (zh) 2022-08-11

Family

ID=68980665

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108113956A TWI773894B (zh) 2018-06-20 2019-04-22 程序及計量控制、基於景觀資訊之程序指標及根本原因分析工具

Country Status (3)

Country Link
US (1) US10962951B2 (zh)
TW (1) TWI773894B (zh)
WO (1) WO2019245829A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11041814B1 (en) 2020-03-13 2021-06-22 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip hole geometry metrology

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240176336A1 (en) * 2022-11-28 2024-05-30 Applied Materials, Inc. Chamber matching by equipment constant updates
US20240176334A1 (en) * 2022-11-28 2024-05-30 Applied Materials, Inc. Adjusting chamber performance by equipment constant updates

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4938219B2 (ja) * 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
EP1532670A4 (en) * 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US6893882B2 (en) * 2002-12-06 2005-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multivariate RBR tool aging detector
US20050255611A1 (en) 2004-05-14 2005-11-17 Patterson Oliver D Defect identification system and method for repairing killer defects in semiconductor devices
JP2008514015A (ja) 2004-09-17 2008-05-01 エム ケー エス インストルメンツ インコーポレーテッド 半導体プロセスの多変量制御
US7463939B1 (en) * 2004-11-18 2008-12-09 Advanced Micro Devices, Inc. Scheduling tools with queue time constraints
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US8627251B2 (en) * 2012-04-25 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of automatically detecting failure patterns for semiconductor wafer fabrication processes
US10042357B2 (en) * 2012-10-23 2018-08-07 Applied Materials, Inc. Portable, adaptable equipment health user interface
TWI649572B (zh) 2012-11-09 2019-02-01 美商克萊譚克公司 用於計量目標之特性化之方法、計量系統及用於計量系統之視覺使用者介面
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
JP6770958B2 (ja) 2014-11-25 2020-10-21 ケーエルエー コーポレイション ランドスケープの解析および利用
EP3420581B1 (en) 2016-02-24 2021-12-08 Kla-Tencor Corporation Accuracy improvements in optical metrology
WO2017146785A1 (en) 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
US11175591B2 (en) * 2016-05-12 2021-11-16 Asml Netherlands B.V. Method of obtaining measurements, apparatus for performing a process step, and metrology apparatus
DE112017007576T5 (de) 2017-05-22 2020-03-05 Kla Corporation Zonenanalyse zur rezeptoptimierung und messung

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11041814B1 (en) 2020-03-13 2021-06-22 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip hole geometry metrology
TWI739414B (zh) * 2020-03-13 2021-09-11 大陸商長江存儲科技有限責任公司 用於半導體晶片孔幾何形狀度量的系統和方法
US11674909B2 (en) 2020-03-13 2023-06-13 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip hole geometry metrology

Also Published As

Publication number Publication date
US10962951B2 (en) 2021-03-30
WO2019245829A1 (en) 2019-12-26
US20190391557A1 (en) 2019-12-26
TWI773894B (zh) 2022-08-11

Similar Documents

Publication Publication Date Title
JP6462022B2 (ja) 向上したプロセス制御のための品質測定値を提供するための方法
TWI710852B (zh) 對效能資料集進行順位之方法及相關聯電腦程式產品
CN107004060B (zh) 用于半导体制造工艺的经改进工艺控制技术
TWI582878B (zh) 用於獲得有關於工業製程之診斷資訊之方法、裝置及電腦程式產品
KR102317060B1 (ko) 패턴화된 웨이퍼 특성화를 위한 방법 및 장치
EP3033764B1 (en) Methods and apparatus for determining focus
US10203200B2 (en) Analyzing root causes of process variation in scatterometry metrology
JP4158384B2 (ja) 半導体デバイスの製造工程監視方法及びそのシステム
TW202002119A (zh) 程序及計量控制、基於景觀資訊之程序指標及根本原因分析工具
TW201702588A (zh) 在度量衡系統中度量衡資料之前向饋送
JP2009075110A (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
TWI637243B (zh) 度量衡方法及設備、電腦程式及微影系統
US10763146B2 (en) Recipe optimization based zonal analysis
TWI582539B (zh) 用於改良程序控制之品質度量的方法及系統
US11249400B2 (en) Per-site residuals analysis for accurate metrology measurements
TWI748110B (zh) 在成像技術中估計振幅及相位不對稱性以用於在疊對計量中達到高精準度
TW201706559A (zh) 使用自動過渡於利用程式庫及利用迴歸之間以用於測量處理之度量系統、方法及電腦程式產品
KR20230156063A (ko) 반도체 제조 프로세스를 특성화하기 위한 방법 및 장치
KR20110028982A (ko) 웨이퍼 패턴의 선폭 측정 방법
Jayaram Using Predictive Design and Process Insights to Enable Smart Manufacturing in Semiconductor Fabs