TW201946146A - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TW201946146A
TW201946146A TW108110209A TW108110209A TW201946146A TW 201946146 A TW201946146 A TW 201946146A TW 108110209 A TW108110209 A TW 108110209A TW 108110209 A TW108110209 A TW 108110209A TW 201946146 A TW201946146 A TW 201946146A
Authority
TW
Taiwan
Prior art keywords
metal
etch stop
stop layer
layer
forming
Prior art date
Application number
TW108110209A
Other languages
English (en)
Other versions
TWI694513B (zh
Inventor
童思頻
林裕凱
王仁宏
潘興強
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201946146A publication Critical patent/TW201946146A/zh
Application granted granted Critical
Publication of TWI694513B publication Critical patent/TWI694513B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導體結構包含導電部件、位於下層結構之上的第一金屬基蝕刻停止層、位於第一金屬基蝕刻停止層之上的無金屬蝕刻停止層、位於無金屬蝕刻停止層之上的第二金屬基蝕刻停止層、位於第二金屬基蝕刻停止層之上的層間介電層、以及互連結構,互連結構延伸穿過第一金屬基蝕刻停止層、無金屬蝕刻停止層、及第二金屬基蝕刻停止層,其中互連結構的底部分直接接觸導電部件。第一金屬基蝕刻停止層可包含第一金屬成分,具有鋁、鉭、鈦、或鉿的其中一者,且第二金屬基蝕刻停止層可包含與第一金屬成分相同或不同的第二金屬成分。第一金屬基蝕刻停止層與第二金屬基蝕刻停止層可均不包含矽。

Description

半導體結構及其形成方法
本揭露內容是有關於一種半導體結構及其形成方法,特別是有關於一種具有金屬基蝕刻停止層的半導體結構及其形成方法。
半導體積體電路(integrated circuit,IC)產業已經歷了快速的成長。積體電路(IC)的材料與設計的技術發展已經創造了積體電路(IC)的多個世代,而各個世代具有相較於前一世代更小且更複雜的電路。在積體電路(IC)演進的歷程中,功能密度(亦即單位晶片面積的互連裝置數量)已普遍地增加,伴隨幾何尺寸(亦即使用生產製程可產生的最小組件(或線))的縮小。這樣的尺寸縮減的過程普遍地為提升生產效率與降低相關成本帶來了好處。
這樣的尺寸縮減也增加了積體電路(IC)的加工和製造的複雜性。為了實現這些發展,需要在積體電路(IC)的加工和製造上進行類似的開發。舉例而言,當裝置的長度尺寸持續縮減,在多個圖案化製程期間採用的蝕刻停止層的效能,可能會對積體電路裝置中的導電互連部件的形成造成影響。因此,需要在此領域中進行改良。
本發明的一些實施例提供半導體結構。此半導體結構包含導電部件、複合蝕刻停止層、層間介電層、以及導電互連結構。複合蝕刻停止層位於導電部件之上。層間介電層位於複合蝕刻停止層之上。導電互連結構延伸穿過層間介電層和複合蝕刻停止層,且導電互連結構的底部分接觸導電部件。複合蝕刻停止層包含第一金屬基蝕刻停止層、無金屬蝕刻停止層、及第二金屬基蝕刻停止層。第一金屬基蝕刻停止層包含第一金屬成分,第二金屬基蝕刻停止層包含第二金屬成分。
本發明的一些實施例提供半導體結構的形成方法,此方法包含形成下層導電結構;在下層導電結構之上沉積含金屬蝕刻停止層;在含金屬蝕刻停止層之上形成含矽蝕刻停止層;在含矽蝕刻停止層之上形成層間介電層;將層間介電層圖案化,以在層間介電層中形成開口;在第一蝕刻製程中蝕刻含矽蝕刻停止層;在第二蝕刻製程中蝕刻含金屬蝕刻停止層;以及在層間介電層的開口中形成導電部件,其中導電部件直接接觸下層導電結構。
本發明的一些實施例提供半導體結構的形成方法,此方法包含形成第一導電部件;在第一導電部件之上形成蝕刻停止層,其中蝕刻停止層包含第一含金屬子層、無金屬子層、及第二含金屬子層;在蝕刻停止層之上形成介電層;將介電層圖案化以形成開口;進行第一濕式蝕刻製程以移除位於開口中的第二含金屬子層的多個部分;進行乾式蝕刻製程以移除位於開口中的無金屬子層的多個部分;進行第二濕式蝕刻製程以移除位於開口中的第一含金屬子層的多個部分;在開口中形成阻障層;以及在開口中形成第二導電部件,從而第二導電部件接觸阻障層。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。
另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。並且,本發明實施例中,一個部件形成於另一部件上、連接至另一部件、及/或耦接至另一部件,可能包含形成這些部件直接接觸的實施例,也可能包含額外的部件可能形成於這些部件之間,使得這些部件不直接接觸的實施例。此外,此處可能使用空間上的相關用語,例如「下方的」、「上方的」、「水平的」、「垂直的」、「在…上方」、「在…之上」、「在…下方」、「在…之下」、「朝上的」、「朝下的」、「頂部」、「底部」和其他類似的衍生用語(例如,「水平地」、「向下地」、「向上地」等)可用於此,以便描述本發明實施例之一個部件與其他部件之間的關係。此些空間上的相關用語用於涵蓋包含此些部件的裝置的不同方位。再者,當使用「約」、「大約」或類似的用語來描述一個數字或一個數值範圍時,除非有另外指明,則此用語是用於表示包含此數值及與此數值相差±10%的數值範圍。舉例而言,「約5奈米」的用語包含了4.5奈米至5.5奈米的尺寸範圍。
本發明實施例有關於半導體裝置的製造方法,且特別有關於在半導體裝置中形成導電互連部件的方法。在形成導電部件(例如,源極/汲極部件和金屬閘極)時,可以經由多個圖案化與蝕刻製程來形成垂直互連部件(例如,接點及/或導孔)、水平互連部件(例如,金屬線)、及/或多層互連部件(例如,金屬化層(metallization layer)和層間介電層),以進行進一步的裝置製程。在這些製程期間,可以採用蝕刻停止層以保護下方的層不受到意外的蝕刻。然而,隨著部件尺寸持續的縮減,蝕刻劑的負載效應變得更加顯著,導致在圖案化期間發生圖案化部件的形成不完全(例如,蝕刻不足效應(under-etching effect))或意外擊穿(punch-through)蝕刻停止層。因此,本發明實施例提供用於改進多個圖案化製程的方法與材料,而此些圖案化製程與在積體電路裝置製造期間形成導電互連部件相關。本文揭露的半導體製程可以例如是包含p型金屬氧化物半導體(PMOS)裝置和n型金屬氧化物半導體(NMOS)裝置的互補式金屬氧化物半導體(CMOS)裝置。關於結構,這些裝置可以是二維平面式金屬氧化物半導體場效電晶體(MPSFET)裝置或者三維非平面式鰭式場效電晶體(FinFET)裝置。然而,需了解的是,本發明實施例不應限定至某特定類型的裝置。
第1A圖和第1B圖是根據本發明實施例的一些面向,製造工件300的方法100的流程圖。第2圖是根據本發明實施例的一些面向,製造工件300的一個部分的方法200的流程圖。方法100和方法200都僅是範例,並非用以將本發明實施例限定至超出申請專利範圍明確敘述的範圍之外。在方法100和方法200之前、之間、及/或之後可提供額外的操作步驟,且可以將本文所述的一些操作步驟取代、消除或移動順序,而形成製程的多個額外的實施例。以下參照如第3A、3B和4~16圖所示的工件300的剖面示意圖描述方法100的中間步驟。使用方法200來處理的工件300的局部剖面示意圖則如第3A圖和第3B圖所示。為了清楚並易於解釋,簡化了圖式中的一些元件。
參照第1A、3A和3B圖,在操作步驟102中,方法100包含提供下層結構300A或300B。下層結構300A和300B可各自包含半導體裝置的任何部分(例如,互補式金屬氧化物半導體(CMOS)裝置)。在許多實施例中,下層結構300A和300B各自包含至少一個導電部件(例如,第3A圖中的導電部件330,第3B圖中的導電部件344、346、354和356)。雖然如第3A圖和第3B圖中所示的下層結構300A和300B是平面式裝置,本發明實施例也可提供三維裝置,根據一些實施例,例如是鰭式場效電晶體(FinFET)裝置。方法100的後續操作步驟可以實施在下層結構300A或300B上。為了清楚與簡化的目的,在第4~16圖中所述的方法100的後續操作步驟是使用下層結構300A的一部份來作為範例描述,然而本發明實施例並未限定於此。
參照第1A圖和第4圖,在操作步驟104中,方法100包含在下層結構300A之上形成下部蝕刻停止層362。下部蝕刻停止層362可包含金屬基介電材料,例如金屬氧化物、金屬氮化物、金屬碳化物、金屬氮氧化物、或其他適合的介電材料。在一些實施例中,下部蝕刻停止層362包含如前所述的多種介電材料。在許多實施例中,下部蝕刻停止層362可包含一或多個金屬成分,例如鋁(Al)、鉭(Ta)、鈦(Ti)、鉿(Hf)、鉬(Mo)、銀(Ag)、金(Au)、錳(Mn)、鋯(Zr)、其他適合的金屬成分,或上述的任意組合。在一個此種範例中,下部蝕刻停止層362可包含氧化鋁。在另一範例中,下部蝕刻停止層362可包含氧化鋁、氮化鋁、氮氧化鋁、其他含鋁介電材料、或上述的任意組合。在又另一範例中,下部蝕刻停止層362可包含氧化鈦、氧化鉿、其他介電材料、或上述的任意組合。值得注意的是,下部蝕刻停止層362實質上不包含矽(也就是元素矽及/含矽化合物)。在本發明實施例中,「實質上不包含」的用語表示其濃度是低於約0.1原子百分比(atomic percent)的程度。可以經由任何適合的方法來形成下部蝕刻停止層362,例如是化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、電鍍(plating)、其他適合的方法,或上述的任意組合。在所述的一些實施例中,形成的下部蝕刻停止層362具有約10埃(angstrom)至約100埃的厚度。
參照第1A圖和第5圖,在操作步驟106中,方法100包含在下部蝕刻停止層362之上形成中間蝕刻停止層364。在許多實施例中,中間蝕刻停止層364實質上不包含具有純金屬的形式、金屬化合物的形式(例如,金屬氧化物、金屬氮化物、金屬氮氧化物、金屬矽化物、金屬碳化物等)、金屬合金的形式(例如,多種金屬成分的組合)、其他金屬成分的形式、或上述的任意組合的任何金屬成分。或者,在本發明實施例中,中間蝕刻停止層364可稱做無金屬蝕刻停止層。在一些實施例中,中間蝕刻停止層364包含介電材料,例如是氧化物、氮化物、碳化物、氮氧化物、其他適合的介電材料、或上述的任意組合。在所述的實施例中,中間蝕刻停止層364包含氧化矽、氮化矽、碳化矽、氮氧化矽、其他適合的含矽材料、或上述的任意組合。可以經由任何適合的方法來形成中間蝕刻停止層364,例如是旋轉塗佈(spin-on coating)、流動式化學氣相沉積(flowable CVD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、其他適合的方法,或上述的任意組合。在所述的實施例中,經由旋轉塗佈的方法來塗佈無金屬介電材料,例如是旋塗玻璃(spin-on-glass,SOG),來形成中間蝕刻停止層364。在許多實施例中,形成的中間蝕刻停止層364具有約150奈米至約500奈米的厚度。
參照第1A圖和第6圖,在操作步驟108中,方法100包含在中間蝕刻停止層364之上形成上部蝕刻停止層366。在許多實施例中,上部蝕刻停止層366類似於下部蝕刻停止層362,上部蝕刻停止層366也包含金屬基介電材料,例如金屬氧化物、金屬氮化物、金屬碳化物、金屬氮氧化物、或其他適合的介電材料。在一些實施例中,上部蝕刻停止層366包含多種介電材料。在許多實施例中,上部蝕刻停止層366包含一或多種金屬成分,例如鋁(Al)、鉭(Ta)、鈦(Ti)、鉿(Hf)、鉬(Mo)、銀(Ag)、金(Au)、錳(Mn)、鋯(Zr)、其他適合的金屬成分、或上述的任意組合。在一個此種範例中,上部蝕刻停止層366可包含氧化鋁。在另一個範例中,上部蝕刻停止層366可包含氮化鋁、氧化鋁、氮氧化鋁、其他含鋁介電材料、或上述的任意組合。在又另一範例中,上部蝕刻停止層366可包含氧化鉭、氧化鋯、其他介電材料、或上述的任意組合。在一些實施例中,下部蝕刻停止層362和上部蝕刻停止層366包含一個共同的金屬成分。值得注意的是,與下部蝕刻停止層362類似,上部蝕刻停止層366也實質上不包含矽(也就是元素矽及/或含矽化合物)。可以經由任何適合的方法來形成上部蝕刻停止層366,例如是化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、電鍍(plating)、其他適合的方法,或上述的任意組合。在所述的一些實施例中,形成的上部蝕刻停止層366具有約10埃至約100埃的厚度。在一些實施例中,方法100省略了形成上部蝕刻停止層366。在一些實施例中,形成上部蝕刻停止層366或形成下部蝕刻停止層362是選擇性的。再者,形成下部蝕刻停止層362、中間蝕刻停止層364、及上部蝕刻停止層366的順序並未限定於本文所述的一些實施例,且可以根據裝置的設計需求而調整。
在許多實施例中,無金屬蝕刻停止層(例如,中間蝕刻停止層364)的厚度是金屬基蝕刻停止層(例如,下部蝕刻停止層362或上部蝕刻停止層366)的厚度的至少1.5倍。此種層的厚度的差異可以歸因至至少兩個因素。第一,金屬基蝕刻停止層對於化學蝕刻(乾式蝕刻及/或濕式蝕刻)通常比無金屬層具有更高的抗性,因此可以形成具有較小的厚度且能夠達到預期程度的蝕刻選擇比,而不會影響到蝕刻部件的解析度(例如,影響線圖案的線寬粗糙度及/或線邊緣粗糙度)。第二,因為金屬基蝕刻停止層通常經由沉積製程(例如,原子層沉積(ALD)、化學氣相沉積(CVD)等)所形成,而無金屬蝕刻停止層通常經由旋轉塗佈(spin-coating)所形成,因此形成的金屬基蝕刻停止層的厚度可以比無金屬蝕刻停止層的厚度更小。
參照第1A圖和第7圖,在操作步驟110中,方法100包含在上部蝕刻停止層366之上形成層間介電(ILD)層368。層間介電層368可包含任何適合的材料,例如四乙氧基矽烷(tetraethylorthosilicate,TEOS)、未摻雜矽酸鹽玻璃、或摻雜氧化矽,摻雜氧化矽例如是硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔矽石玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻硼的矽玻璃(boron doped silicon glass,BSG)、低介電常數(low-k)介電材料、及/或其他適合的介電材料。在一些實施例中,層間介電層368類似於層間介電(ILD)層326、層間介電(ILD)層328、層間介電(ILD)層342、或層間介電(ILD)層352(參見第3B圖)。
在操作步驟112至122中,方法100包含形成導電部件,此導電部件延伸穿過層間介電層368、上部蝕刻停止層366、中間蝕刻停止層364、和下部蝕刻停止層362,以接觸下層導電部件330(或導電部件356)。將會在本文以下詳述相關內容。
參照第1A圖和第8~10圖,在操作步驟112中,方法100包含在層間介電(ILD)層368中形成開口372(第10圖)。在所述的實施例中,方法100採用雙鑲嵌(dual-damascene)製程形成開口372,其中雙鑲嵌製程的細節會在以下詳述,然而,也可以採用其他方法,例如是一連串的單鑲嵌(single-damascene)製程。可以經由一連串的多個圖案化製程形成開口372。這些圖案化製程可包含形成阻劑層(resist layer)(例如,光阻層(photoresist layer),未繪示於圖中),其中阻劑層可更包含一或多個下方的層(例如,硬遮罩層及/或底抗反射塗層(BARC);將光阻層曝光;烘烤曝光後的阻劑層;顯影曝光後的阻劑層;以及接著使用圖案化的阻劑層作為蝕刻遮罩來蝕刻下方的層。蝕刻製程可包含濕式蝕刻、乾式蝕刻、反應性離子蝕刻(reactive ion etch,RIE)、或其他適合的蝕刻方法。在所述的實施例中,參照第8圖,方法100包含在層間介電層368之上形成圖案化光阻層370A。然後可以使用圖案化光阻層370A作為蝕刻遮罩來形成開口372的頂部分372A(例如,溝槽)。在一些實施例中,形成圖案化光阻層370A之前,在層間介電層368的頂表面之上形成硬遮罩層。在一範例中,硬遮罩層可以是含氮層,例如是氮化鈦。蝕刻製程可包含濕式蝕刻、乾式蝕刻、反應性離子蝕刻(RIE)、或其他適合的蝕刻方法。接下來可以使用任何適合的方法移除圖案化光阻層370A,例如是離子灰化(plasma ashing)。參照第9圖,方法100包含接著在層間介電層368的一些部分之上形成另一個圖案化光阻層370B,並且重複蝕刻製程以形成開口372(第10圖)的底部分372B(例如,孔洞)。或者,方法100可包含在類似於前述製程的一個第一圖案化製程中形成底部分372B,接著在一個第二圖案化製程中形成頂部分372A。在所述的實施例中,開口372的形成實質上並不移除上部蝕刻停止層366的一些部分,這是由於在操作步驟112期間所實施的蝕刻製程呈現了對於層間介電層368的蝕刻選擇比。
參照第1A圖和第11圖,在操作步驟114中,方法100包含蝕刻上部蝕刻停止層366。在所述的實施例中,方法100包含採用濕式蝕刻製程以移除上部蝕刻停止層366的一部份,此部分包含金屬基介電材料。在一些實施例中,濕式蝕刻製程採用的蝕刻溶液374包含氫氟酸(HF)、氫氧化銨(NH4 OH)、硝酸(HNO3 )、過氧化氫(H2 O2 )、鹽酸(HCl)、硫酸(H2 SO4 )、氫氧化四甲基銨(TMAH)、其他適合的濕式蝕刻溶液,或上述的任意組合。舉例而言,蝕刻溶液374可採用鹽酸/過氧化氫/水(HCl/H2 O2 /H2 O)溶液、硝酸/過氧化氫/氫氟酸(HNO3 /H2 O2 /HF)溶液、硝酸/磷酸/水(HNO3 /H3 PO4 /H2 O)溶液、氫氧化銨/過氧化氫/水(NH4 OH/H2 O2 /H2 O)溶液(熟知為氨-過氧化物混合物(ammonia-peroxide mixture,APM)、硫酸/過氧化氫(H2 SO4 /H2 O2 )溶液、氫氟酸/過氧化氫/水(HF/H2 O2 /H2 O)溶液、其他蝕刻溶液、或上述的任意組合。在所述的實施例中,方法100採用氨-過氧化物混合物(APM)溶液(用來蝕刻例如鋁基介電材料)、硝酸/磷酸/水(HNO3 /H3 PO4 /H2 O)溶液(用來蝕刻例如鋁基介電材料)、氫氟酸/過氧化氫/水(HF/H2 O2 /H2 O)溶液(用來蝕刻例如鈦基介電材料)、及/或硫酸(用來蝕刻例如鈦基介電材料)。如此一來,在操作步驟114中,方法100包含蝕刻穿過上部蝕刻停止層366並露出中間蝕刻停止層364的一部份。在許多實施例中,濕式蝕刻製程選擇性地蝕刻穿過上部蝕刻停止層366而實質上不移除下方的中間蝕刻停止層364的一些部分。
參照第1A圖和第12圖,在操作步驟116中,方法100包含蝕刻中間蝕刻停止層364。在所述的實施例中,方法100包含採用乾式蝕刻製程以移除中間蝕刻停止層364的一部份,此部分實質上不包含任何金屬成分。在一些實施例中,乾式蝕刻製程採用的蝕刻氣體376包含含氟蝕刻劑氣體(例如,四氟甲烷(CF4 )、六氟化硫(SF6 )、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )、及/或六氟乙烷(C2 F6 ))、含氧氣體、含氯氣體(例如,氯氣(Cl2 )、三氯甲烷(CHCl3 )、四氯甲烷(CCl4 )、及/或三氯化硼(BCl3 ))、含溴氣體(例如溴化氫(HBr)及/或三溴甲烷(CHBr3 ))、含碘氣體、氦、其他適合的氣體及/或電漿、或上述的任意組合。在所述的實施例中,乾式蝕刻製程採用含氟蝕刻劑氣體,例如是CFx 或CHFx ,其中x是大於1的整數。如此一來,在操作步驟116中,方法100包含蝕刻穿過中間蝕刻停止層364並露出下部蝕刻停止層362的一部份。在許多實施例中,乾式蝕刻製程選擇性地蝕刻穿過中間蝕刻停止層364而實質上不移除下方的下部蝕刻停止層362的一些部分。
參照第1B圖和第13圖,在操作步驟118中,方法100包含蝕刻下部蝕刻停止層362。在所述的實施例中,方法100包含採用濕式蝕刻製程以移除下部蝕刻停止層362的一部份,此部分包含金屬基介電材料。在一些實施例中,濕式蝕刻製程採用的蝕刻溶液378包含氫氧化銨(NH4 OH)、硝酸(HNO3 )、氫氟酸(HF)、過氧化氫(H2 O2 )、鹽酸(HCl)、硫酸(H2 SO4 )、氫氧化四甲基銨(TMAH)、其他適合的濕式蝕刻溶液,或上述的任意組合。舉例而言,蝕刻溶液378可採用鹽酸/過氧化氫/水(HCl/H2 O2 /H2 O)溶液、硝酸/過氧化氫/氫氟酸(HNO3 /H2 O2 /HF)溶液、硝酸/磷酸/水(HNO3 /H3 PO4 /H2 O)溶液、氫氧化銨/過氧化氫/水(NH4 OH/H2 O2 /H2 O)溶液(熟知為氨-過氧化物混合物(ammonia-peroxide mixture,APM)、硫酸/過氧化氫(H2 SO4 /H2 O2 )溶液、氫氟酸/過氧化氫/水(HF/H2 O2 /H2 O)溶液、其他蝕刻溶液、或上述的任意組合。在所述的實施例中,方法100採用氨-過氧化物混合物(APM)溶液(用來蝕刻例如鋁基介電材料)、硝酸/磷酸/水(HNO3 /H3 PO4 /H2 O)溶液(用來蝕刻例如鋁基介電材料)、氫氟酸/過氧化氫/水(HF/H2 O2 /H2 O)溶液(用來蝕刻例如鈦基介電材料)、及/或硫酸(用來蝕刻例如鈦基介電材料)。如此一來,在操作步驟118中,方法100包含蝕刻穿過下部蝕刻停止層362並露出導電部件330的一部份,使得開口372延伸穿過層間介電層368、上部蝕刻停止層366、中間蝕刻停止層364、及下部蝕刻停止層362。在許多實施例中,濕式蝕刻製程選擇性地蝕刻穿過下部蝕刻停止層362而實質上不移除導電部件330或層間介電層368的一些部分。
在所述的實施例中,交替的(alternating)金屬基蝕刻停止層和無金屬蝕刻停止層所提供的特性,是使用單一無金屬(例如,矽基)蝕刻停止層所難以達到的。舉例而言,因為金屬基蝕刻停止層(例如,上部蝕刻停止層366和下部蝕刻停止層362)比無金屬蝕刻停止層(例如,中間蝕刻停止層364)要薄,使用具有較大側向偏移(lateral bias)的濕式蝕刻可達到使層移除步驟具有較高效率,而不會造成延展的側向損傷及犧牲圖案線寬的解析度。另一方面,因為無金屬蝕刻停止層比起金屬基蝕刻停止層具有較小的蝕刻抗性及較大的厚度,使用具有較大定向性偏移(directional bias)的乾式蝕刻可提升圖案線寬的解析度,而不會犧牲層移除步驟的效率。再者,與無金屬蝕刻停止層相比,本文所述的金屬基蝕刻停止層在以乾式蝕刻電漿進行蝕刻時可具有較低的蝕刻速率,這是由於此種乾式蝕刻的反應副產物具有高沸點。因此,交替的(alternating)金屬基蝕刻停止層和無金屬蝕刻停止層以及其具有各自的蝕刻方法,當依序蝕刻此兩者蝕刻停止層時,可提供較佳的蝕刻選擇比並且提高圖案線寬的解析度。
參照第1B圖和第14圖,在操作步驟120中,方法100包含在開口372中形成阻障層380。阻障層380可包含任何適合的材料,例如氮化鈦、氮化鉭、其他材料、或上述的任意組合。可以經由任何適合的方法來形成阻障層380,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、或其他方法。
參照第1B圖和第15~16圖,在操作步驟122中,方法100包含在阻障層380之上形成導電部件384。在所述的實施例中,參照第15圖,方法100包含在開口372中沉積導電材料382,使得導電材料382延伸穿過層間介電層368、上部蝕刻停止層366、中間蝕刻停止層364、及下部蝕刻停止層362。導電材料382可包含任何適合的材料,例如銅(Cu),鎢(W)、鈷(Co)、鋁(Al)、鈦(Ti)、鉭(Ta)、鉑(Pt)、鉬(Mo)、銀(Ag)、金(Au)、錳(Mn)、鋯(Zr)、釕(Ru)、其他導電材料、或上述的任意組合。在導電材料382是銅的一些實施例中,方法100可包含在沉積導電材料382之前,先在開口372中形成銅晶種層(未繪示)。參照第16圖,方法100可包含接著進行化學機械研磨/平坦化(CMP)製程,以將多餘材料從層間介電層368的頂表面移除,而形成導電部件384。在所述的實施例中,化學機械研磨/平坦化(CMP)製程也移除了設置在層間介電層368的頂表面上的阻障層380。
參照第1B圖,在操作步驟124中,方法100可包含進行額外的步驟以完成製造。舉例而言,可以在工件300之上形成額外的垂直互連部件、及/或水平互連部件、以及多層互連部件,垂直互連部件例如是接點及/或導孔,水平互連部件例如是線路,多層互連部件例如是金屬層和層間介電層。多種互連部件可以採用多種導電材料來實現,包含銅(Cu)、鎢(W)、鈷(Co)、鋁(Al)、鈦(Ti)、鉭(Ta)、鉑(Pt)、鉬(Mo)、銀(Ag)、金(Au)、 錳(Mn)、鋯(Zr)、釕(Ru)、上述金屬各自的合金、金屬矽化物、其他適合的材料、或上述的任意組合。金屬矽化物可包含矽化鎳、矽化鈷、矽化鎢、矽化鉭、矽化鈦、矽化鉑、矽化鉺、矽化鈀、或上述的任意組合。工件300可以包含在微處理器、記憶體、及/或其他積體電路裝置中。工件300可以是積體電路晶片的一部份、系統單晶片(system on chip,SoC)、或上述的一部份,其包含多種被動和主動微電子裝置,例如電阻、電容、電感、二極體、金氧半場效電晶體(metal-oxide semiconductor field effect transistor,MOSFET)、互補式金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極介面電晶體(bipolar junction transistors,BJT)、橫向擴散金屬氧化物半導體(laterally diffused MOS,LDMOS)電晶體、高壓電晶體(high voltage transistors)、高頻電晶體(high frequency transistors)、其他適合的元件、或上述的任意組合。
在一些實施例中,可以藉由參照如第2、3A和3B圖所述的範例方法來形成下層結構300A和300B。參照第2和3A圖,在操作步驟202中,方法200包含提供基底312。基底312可包含元素(單一元素)半導體,例如鍺及/或矽;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;非半導體材料,例如鈉鈣玻璃(soda-lime glass)、熔融矽(fused silica)、熔融石英(fused quartz)、及/或氟化鈣(CaF2 );及/或上述的任意組合。基底312可以是具有均質組成的單層材料,或者基底312可包含適合用於積體電路裝置製造的具有類似或不同組成的多個材料層。
在操作步驟204中,方法200包含在基底312上形成隔離部件(未繪示)。隔離部件可包含例如氧化矽、氮化矽、氮氧化矽、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)、低介電常數(low-k)介電材料、其他適合的介電材料、或上述的任意組合。隔離部件可包含例如是淺溝槽隔離(STI)結構、深溝槽隔離(DTI)結構、及/或矽局部氧化(LOCOS)結構。
在操作步驟206中,方法200包含在基底312之上形成虛設閘極結構(未繪示),而在後續步驟中會將虛設閘極結構的一些部分置換。虛設閘極結構可包含虛設界面層及虛設閘極電極,虛設界面層包含氧化矽或氮氧化矽,虛設閘極電極包含多晶矽。可以經由一連串的沉積與圖案化製程來形成虛設閘極結構。之後,仍參照第2和3A圖,在操作步驟206中,方法200包含沿虛設閘極結構的側壁形成閘極間隙物324。閘極間隙物324可包含介電材料,例如氧化矽、氮化矽、氮氧化矽、碳化矽、其他介電材料、或上述的任意組合,且可以經由沉積製程之後接續進行非等向性蝕刻製程來形成閘極間隙物324。
在操作步驟208中,方法200包含在相鄰虛設閘極結構處形成源極/汲極部件316,藉此在虛設閘極結構下方以及源極/汲極部件316之間形成通道區域318。用於形成NMOS裝置的源極/汲極部件316可以是n型部件,或者,用於形成PMOS裝置的源極/汲極部件316可以是p型部件。在一些實施例中,可以藉由使用一或多種n型摻雜物或p型摻雜物在基底312中相鄰虛設閘極結構的源極/汲極區域進行摻雜,摻雜物的類型則依據預定形成的裝置的本質決定,且可以使用例如離子佈植(ion implantation)方法來進行摻雜。在一些其他實施例中,在操作步驟208中,方法200包含藉由在源極/汲極區域中磊晶成長摻雜的半導體材料層,以形成源極/汲極部件316。在另一些其他實施例中,在操作步驟208中,方法200包含先將源極/汲極區域的一些部分凹陷以形成溝槽、接著再在溝槽中磊晶成長半導體層,以形成源極/汲極部件316。對於p型場效電晶體(FET),磊晶成長的半導體材料可以是鍺矽,而對於n型場效電晶體(FET),磊晶成長的半導體材料可以是矽或碳化矽。在一些實施例中,可以藉由原位摻雜(in-situ dopint)將摻雜物導入源極/汲極部件316中。在一些實施例中,在操作步驟208中,方法200包含接著在源極/汲極部件316、虛設閘極結構和隔離部件之上形成蝕刻停止層(未繪示)。
之後,在操作步驟210中,方法200包含在源極/汲極部件316、虛設閘極結構和隔離部件之上形成層間介電(ILD)層326。層間介電層326可以是類似於參照前述第7圖所描述的層間介電層368,且可以經由沉積製程所形成,例如是旋轉塗佈(spin-on coating)、化學氣相沉積(CVD)、其他適合的方法、或上述的任意組合。在操作步驟210中,方法200更包含進行平坦化製程,例如是化學機械研磨 (CMP),以將多餘的層間介電材料從層間介電層326的頂表面移除,並暴露出虛設閘極結構的頂表面。
在操作步驟212中,仍參照第2和3A圖,方法200包含以高介電常數金屬閘極(high-k metal gate,HK MG)結構314置換虛設閘極結構。在操作步驟212中,方法200包含移除虛設閘極結構的一些部分以形成閘極溝槽(未繪示),並接著藉由一連串的圖案化及沉積製程在閘極溝槽中形成高介電常數金屬閘極(HK MG)結構314。因此,形成的閘極材料層(例如,以下會描述的閘極介電層322和閘極電極320)可以是U形的。之後,進行例如是化學機械研磨(CMP)的平坦化製程,以將多餘的金屬閘極及/或高介電常數材料從高介電常數金屬閘極(HK MG)結構314的頂表面上移除。
高介電常數金屬閘極(HK MG)結構314可包含至少閘極介電層322和閘極電極320。閘極介電層322可包含一或多種高介電常數(high-k)介電材料(或者一或多層高介電常數介電材料),例如氧化鉿(HfSiO)、氧化鉿(HfO2 )、氧化鋁(Al2 O3 )、氧化鋯(ZrO2 )、氧化鑭(La2 O3 )、氧化鈦(TiO2 )、氧化釔(Y2 O3 )、鈦酸鍶(SrTiO3 )、或上述的任意組合。閘極電極320可包含多個金屬層,例如功函數金屬層和導電塊(bulk conductive)層。閘極介電層322和閘極電極320可各自經由適合的沉積製程來形成,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、及/或原子層沉積(ALD)。在許多實施例中,高介電常數金屬閘極(HK MG)結構314可包含額外的金屬層,例如是界面層、蓋層、擴散層、阻障層、及其他適合的層。
在操作步驟214中,方法200包含在層間介電層326和高介電常數金屬閘極(HK MG)結構314之上形成另一個層間介電層328。層間介電層328可包含類似於層間介電層326所具有的材料,且可以經由沉積製程所形成,例如旋轉塗佈、化學氣相沉積、其他適合的方法、或上述的任意組合。在操作步驟214中,方法200可更包含化學機械研磨製程,以將多餘材料從層間介電層328的頂表面移除。
仍參照第2和3A圖,在操作步驟216中,方法200包含形成導電部件330。在一些實施例中,導電部件330是經由包含一連串圖案化、沉積和化學機械研磨製程的一個單鑲嵌製程所形成。舉例而言,方法200可包含先進行圖案化製程(包含微影製程和蝕刻),以在層間介電層328和層間介電層326中形成開口(未繪示)。在所述的實施例中。開口是直接形成在源極/汲極部件316的頂表面的上方。操作步驟216中的圖案化製程與方法100(第1A圖)的操作步驟112中所述的圖案化製程類似。之後,方法200包含在開口中沉積導電材料,以形成導電部件300。導電材料可包含銅(Cu)、鎢(W)、鈷(Co)、鋁(Al)、鈦(Ti)、鉭(Ta)、鉑(Pt)、鉬(Mo)、銀(Ag)、金(Au)、錳(Mn)、鋯(Zr)、釕(Ru)、其他適合的導電材料、或上述的任意組合。可以藉由任何適合的製程來沉積導電材料,例如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、其他適合的方法、或上述的任意組合。之後,可以進行化學機械研磨製程,以將多餘的導電材料從導電部件330的頂表面移除。
參照第2和3B圖,在操作步驟218中,方法200可包含形成額外的導電部件。方法200可包含在層間介電層328和導電部件330上方的層間介電層342中形成導電部件344和346,而層間介電層342與導電部件344和346共同形成金屬化層(metallization layer)340。方法200可包含進一步在金屬化層340之上形成另一個金屬化層350。金屬化層350可包含形成在另一個層間介電層352中的導電部件356和354。在一些實施例中,導電部件354是在導電部件346(也就是金屬線)與導電部件356之間建立電性連接的導孔。導電部件344、346、354和356可各自包含適合的導電材料,且可以藉由任何適合的方法來形成。在一範例中,各個金屬化層之中的導電部件可以是在多個單鑲嵌製程中形成,或者可以是在如方法100(第1A圖)的操作步驟112中所述的雙鑲嵌製程中形成。
儘管並非用於限定,本發明的一個或多個實施例提供了對於半導體裝置及其製造方法的改進。舉例而言,本發明實施例提供了在積體電路裝置中形成導電互連結構的方法。經由結合交替的(alternating)且具有不同層厚度的金屬基蝕刻停止層與無金屬蝕刻停止層,並且交替使用濕式蝕刻方法與乾式蝕刻方法,可以改善多個圖案化製程中的蝕刻選擇比以及圖案線寬度的解析度,而達到較佳地控制所形成的部件的外形輪廓的關鍵尺寸(critical dimension)與深度。
據此,本發明實施例對於製造半導體裝置提供許多不同的實施例。在一個面向中,本發明實施例提供一種半導體結構,此半導體結構包含導電部件、複合蝕刻停止層、層間介電層、及導電互連結構。複合蝕刻停止層包含第一金屬基蝕刻停止層、無金屬蝕刻停止層、及第二金屬基蝕刻停止層。層間介電層位於複合蝕刻停止層之上。導電互連結構延伸穿過層間介電層和複合蝕刻停止層。在一些實施例中,第一金屬基蝕刻停止層包含第一金屬成分,且第二金屬基蝕刻停止層包含第二金屬成分。在一些實施例中,導電互連結構的底部分直接接觸導電部件。
在一些實施例中,第一金屬成分包含鋁、鉭、鈦、鉿、或上述的任意組合。在進一步的一些實施例中,第二金屬成分與第一金屬成分相同。
在一些實施例中,無金屬蝕刻停止層包含含矽介電材料。在進一步的一些實施例中,第一金屬基蝕刻停止層和第二金屬基蝕刻停止層均不含矽。
在一些實施例中,導電互連結構包含金屬層和阻障層,阻障層設置於金屬層和層間介電層之間。
在一些實施例中,第一金屬基蝕刻停止層的厚度近似第二金屬基蝕刻停止層的厚度,且其中無金屬蝕刻停止層的厚度是第一金屬基蝕刻停止層的厚度的至少1.5倍。
在另一個面向中,本發明實施例提供一種方法,方法包含:形成下層導電結構;在下層導電結構之上沉積含金屬蝕刻停止層;在含金屬蝕刻停止層之上形成含矽蝕刻停止層;在含矽蝕刻停止層之上形成層間介電層;圖案化層間介電層以該層間介電層中形成開口;在第一蝕刻製程中蝕刻含矽蝕刻停止層;在第二蝕刻製程中蝕刻含金屬蝕刻停止層;以及在層間介電層的開口中形成導電部件,其中導電部件直接接觸下層導電結構。
在一些實施例中,第一蝕刻製程包含乾式蝕刻製程,此乾式蝕刻製程經由使用含碳與含氟蝕刻劑氣體實施,且第二蝕刻製程包含濕式蝕刻製程,此濕式蝕刻製程經由使用包含硝酸(HNO3 )、磷酸(H3 PO4 )、硫酸(H2 SO4 )、水(H2 O)、或上述的任意組合之溶液實施。
在一些實施例中,在層間介電層的開口中形成導電部件包含:在開口中沉積阻障層、以及在阻障層之上沉積一層導電材料。在進一步的一些實施例中,在層間介電層的開口中形成導電部件更包含進行化學機械研磨製程以移除多餘導電材料。
在一些實施例中,此方法更包含在圖案化層間介電層之前,在層間介電層之上形成含氮硬遮罩層。
在一些實施例中,含金屬蝕刻停止層是第一含金屬蝕刻停止層,且此方法更包含在含矽蝕刻停止層之上形成第二含金屬蝕刻停止層。在進一步的一些實施例中,此方法更包含在蝕刻含矽蝕刻停止層之前,在第三蝕刻製程中蝕刻第二含金屬蝕刻停止層。在更進一步的一些實施例中,第一蝕刻製程包含乾式蝕刻製程,此乾式蝕刻製程經由使用含碳、含氫與含氟蝕刻劑氣體實施,且第二蝕刻製程與第三蝕刻製程各自包含濕式蝕刻製程,此濕式蝕刻製程經由使用包含硝酸(HNO3 )、磷酸(H3 PO4 )、氫氧化銨(NH4 OH)、過氧化氫(H2 O2 )、氫氟酸(HF)、硫酸(H2 SO4 )、水(H2 O)、或上述的任意組合之溶液實施。
在又一個面向中,本發明實施例提供一種方法,其包含:形成第一導電部件;在第一導電部件之上形成蝕刻停止層;在蝕刻停止層之上形成介電層;圖案化介電層以形成開口;進行第一濕式蝕刻製程以移除位於開口中的第二含金屬子層的多個部分;進行乾式蝕刻製程以移除位於開口中的無金屬子層的多個部分;進行第二濕式蝕刻製程以移除位於開口中的第一含金屬子層的多個部分;在開口中形成阻障層;以及在開口中形成第二導電部件,從而第二導電部件接觸阻障層。在一些實施例中,蝕刻停止層包含形成於第一導電部件之上的第一含金屬子層、形成於第一含金屬子層之上的無金屬子層、及形成於無金屬子層之上的第二含金屬子層。在一些實施例中,無金屬子層包含矽基材料。
在一些實施例中,第一含金屬子層和第二含金屬子層各自包含鋁、鉭、鈦、鉿、或上述的任意組合。在進一步的一些實施例中,第一含金屬子層包含鋁基材料。
在一些實施例中,形成該蝕刻停止層包含:採用第一沉積製程以形成第一含金屬子層;採用旋轉塗佈製程以在第一含金屬子層之上形成無金屬子層;以及採用類似於第一沉積製程的第二沉積製程以在無金屬子層之上形成第二含金屬子層,第一沉積製程和第二沉積製程各自包含化學氣相沉積、物理氣相沉積和原子層沉積的其中一者。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100、200‧‧‧方法
102、104、106、108、110、112、114、116、118、120、120、124、202、204、206、208、210、212、214、216、218‧‧‧操作步驟
300‧‧‧工件
300A、300B‧‧‧下層結構
312‧‧‧基底
314‧‧‧高介電常數金屬閘極結構
316‧‧‧源極/汲極部件
318‧‧‧通道區域
320‧‧‧閘極電極
322‧‧‧閘極介電層
324‧‧‧閘極間隙物
326、328、342、352、368‧‧‧層間介電層
330、344、346、354、356、384‧‧‧導電部件
340、350‧‧‧金屬化層
362‧‧‧下部蝕刻停止層
364‧‧‧中間蝕刻停止層
366‧‧‧上部蝕刻停止層
370A、370B‧‧‧圖案化光阻層
372‧‧‧開口
372A‧‧‧頂部分
372B‧‧‧底部分
374、378‧‧‧蝕刻溶液
376‧‧‧蝕刻氣體
380‧‧‧阻障層
382‧‧‧導電材料
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1A圖和第1B圖是根據本發明實施例的多個面向,製造半導體裝置的範例方法的流程圖。 第2圖是根據本發明實施例的多個面向,製造半導體裝置的範例方法的流程圖。 第3A圖和第3B圖是根據本發明實施例的多個面向,半導體裝置的範例的局部剖面示意圖。 第4、5、6、7、8、9、10、11、12、13、14、15和16圖是根據本發明實施例的多個面向,如第1A圖和第1B圖所示的製造方法的實施例中,各個中間階段的半導體裝置的範例的局部剖面示意圖。

Claims (20)

  1. 一種半導體結構,包括: 一導電部件;以及 一複合蝕刻停止層,位於該導電部件之上,該複合蝕刻停止層包括: 一第一金屬基蝕刻停止層,其中該第一金屬基蝕刻停止層包括一第一金屬成分; 一無金屬蝕刻停止層; 一第二金屬基蝕刻停止層,其中該第二金屬基蝕刻停止層包括一第二金屬成分; 一層間介電層,位於該複合蝕刻停止層之上;以及 一導電互連結構,延伸穿過該層間介電層和該複合蝕刻停止層,其中該導電互連結構的一底部分接觸該導電部件。
  2. 如申請專利範圍第1項所述之半導體結構,其中該第一金屬成分包括鋁、鉭、鈦、鉿、或上述的任意組合。
  3. 如申請專利範圍第2項所述之半導體結構,其中該第二金屬成分與該第一金屬成分相同。
  4. 如申請專利範圍第1項所述之半導體結構,其中該無金屬蝕刻停止層包括一含矽介電材料。
  5. 如申請專利範圍第4項所述之半導體結構,其中該第一金屬基蝕刻停止層和該第二金屬基蝕刻停止層均不含矽。
  6. 如申請專利範圍第1項所述之半導體結構,其中該導電互連結構包括一金屬層和一阻障層,該阻障層設置於該金屬層和該層間介電層之間。
  7. 如申請專利範圍第1項所述之半導體結構,其中該第一金屬基蝕刻停止層的一厚度近似該第二金屬基蝕刻停止層的一厚度,且其中該無金屬蝕刻停止層的一厚度是該第一金屬基蝕刻停止層的該厚度的至少1.5倍。
  8. 一種半導體結構的形成方法,包括: 形成一下層導電結構; 在該下層導電結構之上沉積一含金屬蝕刻停止層; 在該含金屬蝕刻停止層之上形成一含矽蝕刻停止層; 在該含矽蝕刻停止層之上形成一層間介電層; 圖案化該層間介電層以在該層間介電層中形成一開口; 在一第一蝕刻製程中蝕刻該含矽蝕刻停止層; 在一第二蝕刻製程中蝕刻該含金屬蝕刻停止層;以及 在該層間介電層的該開口中形成一導電部件,其中該導電部件直接接觸該下層導電結構。
  9. 如申請專利範圍第8項所述之半導體結構的形成方法,其中該第一蝕刻製程包括一乾式蝕刻製程,該乾式蝕刻製程經由使用一含碳與含氟蝕刻劑氣體實施,該第二蝕刻製程包括一濕式蝕刻製程,該濕式蝕刻製程經由使用包括硝酸(HNO3 )、磷酸(H3 PO4 )、硫酸(H2 SO4 )、水(H2 O)、或上述的任意組合之一溶液實施。
  10. 如申請專利範圍第8項所述之半導體結構的形成方法,其中在該層間介電層的該開口中形成該導電部件包括: 在該開口中沉積一阻障層;以及 在該阻障層之上沉積一層導電材料。
  11. 如申請專利範圍第10項所述之半導體結構的形成方法,更包括:進行一化學機械研磨製程以移除多餘導電材料。
  12. 如申請專利範圍第8項所述之半導體結構的形成方法,更包括:在圖案化該層間介電層之前,在該層間介電層之上形成一含氮硬遮罩層。
  13. 如申請專利範圍第8項所述之半導體結構的形成方法,其中該含金屬蝕刻停止層是一第一含金屬蝕刻停止層,該方法更包括在該含矽蝕刻停止層之上形成一第二含金屬蝕刻停止層。
  14. 如申請專利範圍第13項所述之半導體結構的形成方法,更包括:在蝕刻該含矽蝕刻停止層之前,在一第三蝕刻製程中蝕刻該第二含金屬蝕刻停止層。
  15. 如申請專利範圍第14項所述之半導體結構的形成方法,其中該第一蝕刻製程包括一乾式蝕刻製程,該乾式蝕刻製程經由使用一含碳、含氫與含氟蝕刻劑氣體實施,該第二蝕刻製程與該第三蝕刻製程各自包括一濕式蝕刻製程,該濕式蝕刻製程經由使用包括硝酸(HNO3 )、磷酸(H3 PO4 )、氫氧化銨(NH4 OH)、過氧化氫(H2 O2 )、氫氟酸(HF)、硫酸(H2 SO4 )、水(H2 O)、或上述的任意組合之一溶液實施。
  16. 一種半導體結構的形成方法,包括: 形成一第一導電部件; 在該第一導電部件之上形成一蝕刻停止層,其中該蝕刻停止層包括一第一含金屬子層、一無金屬子層、及一第二含金屬子層; 在該蝕刻停止層之上形成一介電層; 圖案化該介電層以形成一開口; 進行一第一濕式蝕刻製程以移除位於該開口中的該第二含金屬子層的多個部分; 進行一乾式蝕刻製程以移除位於該開口中的該無金屬子層的多個部分; 進行一第二濕式蝕刻製程以移除位於該開口中的該第一含金屬子層的多個部分; 在該開口中形成一阻障層;以及 在該開口中形成一第二導電部件,從而該第二導電部件接觸該阻障層。
  17. 如申請專利範圍第16項所述之半導體結構的形成方法,其中該第一含金屬子層和該第二含金屬子層各自包括鋁、鉭、鈦、鉿、或上述的任意組合。
  18. 如申請專利範圍第17項所述之半導體結構的形成方法,其中該第一含金屬子層包括一鋁基材料。
  19. 如申請專利範圍第16項所述之半導體結構的形成方法,其中該無金屬子層包括一矽基材料。
  20. 如申請專利範圍第16項所述之半導體結構的形成方法,其中形成該蝕刻停止層包括: 採用一第一沉積製程以形成該第一含金屬子層; 採用一旋轉塗佈製程以在該第一含金屬子層之上形成該無金屬子層;以及 採用類似於該第一沉積製程的一第二沉積製程以在該無金屬子層之上形成該第二含金屬子層,該第一沉積製程和該第二沉積製程各自包括化學氣相沉積、物理氣相沉積和原子層沉積的其中一者。
TW108110209A 2018-04-27 2019-03-25 半導體結構及其形成方法 TWI694513B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/964,306 US10468297B1 (en) 2018-04-27 2018-04-27 Metal-based etch-stop layer
US15/964,306 2018-04-27

Publications (2)

Publication Number Publication Date
TW201946146A true TW201946146A (zh) 2019-12-01
TWI694513B TWI694513B (zh) 2020-05-21

Family

ID=68292897

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108110209A TWI694513B (zh) 2018-04-27 2019-03-25 半導體結構及其形成方法

Country Status (3)

Country Link
US (3) US10468297B1 (zh)
CN (1) CN110416184B (zh)
TW (1) TWI694513B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI722882B (zh) * 2020-01-09 2021-03-21 南亞科技股份有限公司 半導體結構及其製造方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10468297B1 (en) 2018-04-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-based etch-stop layer
US11322397B2 (en) * 2018-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices including formation of adhesion enhancement layer
KR20210018650A (ko) * 2019-08-07 2021-02-18 삼성전자주식회사 반도체 장치
US11282742B2 (en) * 2019-10-17 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multi-layer etch stop structure and method for forming the same
CN112863999B (zh) * 2019-11-26 2023-10-27 中芯国际集成电路制造(上海)有限公司 刻蚀方法
US11488859B2 (en) * 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20210137276A (ko) * 2020-05-07 2021-11-17 삼성전자주식회사 반도체 소자
US11942371B2 (en) * 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening
KR20220153175A (ko) 2021-05-10 2022-11-18 삼성전자주식회사 반도체 장치
US11961735B2 (en) * 2021-06-04 2024-04-16 Tokyo Electron Limited Cyclic plasma processing
TW202403845A (zh) * 2022-06-06 2024-01-16 美商應用材料股份有限公司 用於dram電容器模具圖案化之碳化釕

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1009024A (en) 1907-03-25 1911-11-14 Whitworth & Co Percussive ignition device.
US1021109A (en) 1911-03-04 1912-03-26 Delphos C Nelson Automatic cut-off for talking-machines.
US6734116B2 (en) * 2002-01-11 2004-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene method employing multi-layer etch stop layer
US20040048468A1 (en) * 2002-09-10 2004-03-11 Chartered Semiconductor Manufacturing Ltd. Barrier metal cap structure on copper lines and vias
US7253524B2 (en) * 2003-11-25 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Copper interconnects
TW200802703A (en) * 2005-11-28 2008-01-01 Nxp Bv Method of forming a self aligned copper capping layer
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
JP6056868B2 (ja) * 2012-10-09 2017-01-11 日本電気株式会社 配線形成方法
US9437484B2 (en) * 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
KR102462134B1 (ko) * 2015-05-19 2022-11-02 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법, 반도체 장치 및 반도체 장치의 제조 방법
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US9515021B1 (en) 2015-10-20 2016-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of forming the same
US10211097B2 (en) * 2015-12-30 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10854505B2 (en) * 2016-03-24 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Removing polymer through treatment
KR102356754B1 (ko) * 2017-08-02 2022-01-27 삼성전자주식회사 반도체 장치
KR102344320B1 (ko) * 2017-08-11 2021-12-28 삼성전자주식회사 더미 콘택을 갖는 반도체 소자
US10468297B1 (en) 2018-04-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-based etch-stop layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI722882B (zh) * 2020-01-09 2021-03-21 南亞科技股份有限公司 半導體結構及其製造方法
US11205607B2 (en) 2020-01-09 2021-12-21 Nanya Technology Corporation Semiconductor structure and method of manufacturing thereof

Also Published As

Publication number Publication date
US10468297B1 (en) 2019-11-05
CN110416184A (zh) 2019-11-05
TWI694513B (zh) 2020-05-21
US20200066581A1 (en) 2020-02-27
US11004734B2 (en) 2021-05-11
US11769693B2 (en) 2023-09-26
CN110416184B (zh) 2021-10-08
US20190333807A1 (en) 2019-10-31
US20210280460A1 (en) 2021-09-09

Similar Documents

Publication Publication Date Title
TWI694513B (zh) 半導體結構及其形成方法
KR102169642B1 (ko) 경사진 측벽을 가진 절단 금속 게이트
TWI707397B (zh) 半導體裝置及其形成方法
US20180350666A1 (en) Etch Stop Layer for Semiconductor Devices
US11694931B2 (en) Metal gate structure cutting process
US20190259855A1 (en) Dual Metal Via for Contact Resistance Reduction
US11798843B2 (en) Conductive feature formation and structure
TW201946274A (zh) 半導體裝置的製造方法
TW201913755A (zh) 半導體裝置及其形成方法
US11948842B2 (en) Etch stop layer between substrate and isolation structure
US11295990B2 (en) Methods of forming metal gates
US11915943B2 (en) Methods of etching metals in semiconductor devices
TWI770920B (zh) 半導體結構及其形成方法
US20230352345A1 (en) Metal gate structure cutting process
US20230238279A1 (en) Semiconductor device and manufacturing method thereof
US11152475B2 (en) Method for forming source/drain contacts utilizing an inhibitor
US20210391431A1 (en) Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof