TW201921760A - Methods of handling a mask device, apparatus for exchanging a mask device, mask exchange chamber, and vacuum system - Google Patents

Methods of handling a mask device, apparatus for exchanging a mask device, mask exchange chamber, and vacuum system

Info

Publication number
TW201921760A
TW201921760A TW107130426A TW107130426A TW201921760A TW 201921760 A TW201921760 A TW 201921760A TW 107130426 A TW107130426 A TW 107130426A TW 107130426 A TW107130426 A TW 107130426A TW 201921760 A TW201921760 A TW 201921760A
Authority
TW
Taiwan
Prior art keywords
mask
state
masking device
carrier
masking
Prior art date
Application number
TW107130426A
Other languages
Chinese (zh)
Inventor
薩西亞穆爾希 高凡達薩米
渥福剛 克雷殷
斯里尼瓦斯 薩魯古
安提瑞爾斯 索爾
賽巴斯欽甘特 薩恩
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201921760A publication Critical patent/TW201921760A/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/166Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using selective deposition, e.g. using a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67718Changing orientation of the substrate, e.g. from a horizontal position to a vertical position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

Methods of handling a mask device (10) are described. According to an embodiment, the method includes: Loading (X1) the mask device (10) on a holding arrangement (20) for holding the mask device; moving (X2) the holding arrangement (20) from a first state into a second state, the second state being a non-horizontal state; aligning (X3) the holding arrangement (20) with a mask carrier (15); and transferring (X4) the mask device (10) from the holding arrangement (20) to the mask carrier (15). Further, an apparatus (100) for exchanging a mask device from a mask carrier as well as a vacuum system including such an apparatus are described.

Description

處理一遮罩裝置之方法、用以交換一遮罩裝置之設備、遮罩交換腔室、及真空系統Method for processing a masking device, equipment for exchanging a masking device, mask exchange chamber, and vacuum system

本揭露之數個實施例是有關於數種處理ㄧ遮罩裝置之方法。特別是,本揭露之數個實施例係有關於數種處理一遮罩裝置之方法,遮罩裝置裝配以用於在一真空系統中遮蔽於一基板上之沈積。其他實施例係有關於一種用以從一遮罩載體交換一遮罩裝置之設備,遮罩載體應用於一真空系統中。其他實施例有關於一種用以沈積一材料於一基板上的真空系統,包括一種用以從一遮罩載體交換一遮罩裝置的設備。The several embodiments of the present disclosure are related to several methods for processing a radon mask device. In particular, the embodiments of the present disclosure are related to several methods for processing a masking device, the masking device is assembled for shielding deposition on a substrate in a vacuum system. Other embodiments relate to an apparatus for exchanging a masking device from a masking carrier, which is used in a vacuum system. Other embodiments relate to a vacuum system for depositing a material on a substrate, including a device for exchanging a masking device from a masking carrier.

使用有機材料之光電裝置係因為許多原因而變得更受歡迎。使用來製造此種裝置之許多材料係相對地便宜,所以有機光電裝置具有超越無機裝置之成本優勢的潛力。有機材料之固有特性可有利於數種應用,此些應用例如是用於沈積於撓性或非撓性基板上。有機材料之固有特性例如是撓性。有機光電裝置之例子包括有機發光裝置(organic light emitting devices,OLEDs)、有機光電晶體、有機光伏電池、及有機光偵測器。Optoelectronic devices using organic materials have become more popular for many reasons. Many of the materials used to make such devices are relatively inexpensive, so organic optoelectronic devices have the potential to surpass the cost advantages of inorganic devices. The inherent properties of organic materials can be beneficial for several applications, such as for deposition on flexible or non-flexible substrates. The inherent characteristics of organic materials are, for example, flexibility. Examples of organic photovoltaic devices include organic light emitting devices (OLEDs), organic photovoltaic crystals, organic photovoltaic cells, and organic light detectors.

對於OLEDs來說,有機材料可具有超越傳統材料之表現優勢。舉例來說,有機發光層發光之波長可利用適當之摻雜劑輕易地調整。OLEDs係使用薄有機膜,薄有機膜係在供應此裝置電壓時發光。針對使用於例如是平板顯示器、發光、及背光之應用來說,OLEDs係變成令人更加感興趣之技術。For OLEDs, organic materials can have performance advantages over traditional materials. For example, the wavelength of light emitted by the organic light-emitting layer can be easily adjusted with a suitable dopant. OLEDs use thin organic films, which emit light when voltage is supplied to the device. For applications such as flat panel displays, lighting, and backlighting, OLEDs have become a more interesting technology.

在次大氣壓力(sub-atmospheric pressure)下,材料一般係於真空系統中沈積於基板上,材料特別是有機材料。在沈積期間,遮罩裝置可配置於基板之前方,其中遮罩裝置可具有至少一開孔或數個開孔,此一開孔或此些開孔係定義開孔圖案,開孔圖案係對應於將沈積於基板上的材料圖案,沈積舉例為藉由蒸發執行。基板一般係在沈積期間配置於遮罩裝置之後方且相對於遮罩裝置對準。舉例來說,遮罩載體可使用以傳送遮罩裝置至真空系統的沈積腔室中,及基板載體可使用以傳送基板至沈積腔室中來用以配置基板於遮罩裝置的後方。Under sub-atmospheric pressure, materials are generally deposited on a substrate in a vacuum system, especially organic materials. During the deposition period, the masking device may be arranged in front of the substrate, wherein the masking device may have at least one opening or several openings, and this opening or openings define the opening pattern, and the opening pattern corresponds to For the pattern of the material to be deposited on the substrate, the deposition is performed by, for example, evaporation. The substrate is generally disposed behind the masking device during the deposition period and is aligned with the masking device. For example, a mask carrier may be used to transfer a mask device into a deposition chamber of a vacuum system, and a substrate carrier may be used to transfer a substrate into a deposition chamber to configure a substrate behind the mask device.

在定期時段從真空系統移除已使用遮罩裝置可為有利的,舉例為用以清洗、遮罩交換或已使用遮罩裝置之維護。再者,在定期時段裝載將使用遮罩裝置至真空系統中可為有利的,舉例為用以進行遮罩交換或用以提供乾淨或未使用遮罩於系統中。然而,遮罩交換係花費時間及可能導致系統之閒置時間,而增加所有權的成本。It may be advantageous to remove used masking devices from the vacuum system at regular intervals, such as for cleaning, mask exchange, or maintenance of used masking devices. Furthermore, it may be advantageous to load a masking device into a vacuum system at regular intervals, such as for mask exchange or to provide a clean or unused mask in the system. However, mask exchange takes time and can lead to idle time of the system, which increases the cost of ownership.

因此,用於在真空系統中快速及有效率的遮罩處理之方法及設備係有需求的。特別是,簡化及加速在真空系統中之遮罩交換會為有利的,遮罩係裝配以用於遮蔽基板上之沈積。Therefore, methods and equipment for fast and efficient mask processing in a vacuum system are needed. In particular, it would be advantageous to simplify and speed up mask exchange in a vacuum system, which is assembled for shielding deposition on a substrate.

有鑑於上述,提出數種處理一遮罩裝置之方法,一種從一遮罩載體交換一遮罩裝置之方法,一種用以從一遮罩載體交換一遮罩裝置之設備,一種遮罩交換腔室,以及一種用以沈積一材料於一基板上之真空系統。In view of the above, several methods for processing a mask device are proposed, a method for exchanging a mask device from a mask carrier, a device for exchanging a mask device from a mask carrier, and a mask exchange cavity. Chamber, and a vacuum system for depositing a material on a substrate.

根據本揭露之一方面,提出一種處理一遮罩裝置之方法。方法包括:裝載遮罩裝置於一支承配置上,支承配置用以支承遮罩裝置;從一第一狀態移動支承配置至一第二狀態中,第二狀態係為一非水平狀態;對準支承配置於一遮罩載體;以及從支承配置傳送遮罩裝置至遮罩載體。According to one aspect of the present disclosure, a method for processing a masking device is proposed. The method includes: loading a masking device on a support configuration for supporting the masking device; moving the support configuration from a first state to a second state, the second state being a non-horizontal state; aligning the support Arranged on a mask carrier; and transferring the masking device from the supporting arrangement to the mask carrier.

根據本揭露之其他方面,提出一種處理一遮罩裝置之方法。方法包括:裝載遮罩裝置於一支承配置上,支承配置用以支承遮罩裝置;從一第一定向或第一位置移動支承配置至一第二定向或第二位置中,第二定位或第二位置係為一非水平定向或非水平位置;對準支承配置於一遮罩載體;以及從支承配置傳送遮罩裝置至遮罩載體。According to other aspects of the present disclosure, a method for processing a masking device is proposed. The method includes: loading a masking device on a support configuration, the support configuration is used to support the masking device; moving the support configuration from a first orientation or a first position into a second orientation or a second position, the second positioning or The second position is a non-horizontal orientation or non-horizontal position; the alignment support is disposed on a mask carrier; and the mask device is transferred from the support configuration to the mask carrier.

根據本揭露之其他方面,提出一種處理一遮罩裝置之方法。方法包括:對準一支承配置於一遮罩載體,遮罩載體支承遮罩裝置,支承配置係在一第二狀態中;從遮罩載體傳送遮罩裝置至支承配置;以及從第二狀態移動支承配置至一第一狀態中,第二狀態係為一非水平狀態。According to other aspects of the present disclosure, a method for processing a masking device is proposed. The method includes: aligning a support arrangement on a mask carrier, the mask carrier supporting the mask apparatus, and the support arrangement is in a second state; transferring the mask apparatus from the mask carrier to the support arrangement; and moving from the second state The support is arranged in a first state, and the second state is a non-horizontal state.

根據本揭露所述之其他方面,提出一種處理一遮罩裝置之方法。方法包括:對準一支承配置於一遮罩載體,遮罩載體支承遮罩裝置,支承配置係在一第二定向或第二位置中;從遮罩載體傳送遮罩裝置至支承配置;以及從第二定向或第二位置移動支承配置至一第一定向或第一位置中,第二定向或第二位置係為一非水平定向或非水平位置。According to other aspects described in this disclosure, a method for processing a masking device is proposed. The method includes aligning a support arrangement on a mask carrier, the mask carrier supporting the mask apparatus, the support arrangement being in a second orientation or second position; transferring the mask apparatus from the mask carrier to the support arrangement; and The second orientation or second position moving support is configured into a first orientation or first position, and the second orientation or second position is a non-horizontal orientation or non-horizontal position.

根據本揭露所述之其他方面,提出一種從一遮罩載體交換一遮罩裝置之方法。方法包括:對準一支承配置於一遮罩載體,遮罩載體支承遮罩裝置,支承配置係在一第二狀態中及遮罩裝置係為一第一遮罩裝置;從遮罩載體傳送第一遮罩裝置至支承配置;以及從第二狀態移動支承配置至一第一狀態中,第二狀態係為一非水平狀態。再者,方法包括裝載一遮罩裝置於一支承配置上,支承配置用以支承遮罩裝置,遮罩裝置係為一第二遮罩裝置;從一第一狀態移動支承配置至一第二狀態中,第二狀態係為一非水平狀態;對準支承配置於一遮罩載體;以及從支承配置傳送第二遮罩裝置至遮罩載體。According to other aspects described in this disclosure, a method for exchanging a mask device from a mask carrier is proposed. The method includes: aligning a support disposed on a mask carrier, the mask carrier supporting the mask device, the support arrangement is in a second state and the mask device is a first mask device; A mask device to a support configuration; and moving the support configuration from a second state to a first state, the second state being a non-horizontal state. Furthermore, the method includes loading a masking device on a support configuration, the support configuration is used to support the masking device, and the masking device is a second masking device; moving the support configuration from a first state to a second state The second state is a non-horizontal state; the alignment support is arranged on a mask carrier; and the second mask device is transferred from the support arrangement to the mask carrier.

根據本揭露所述之其他方面,提出一種從一遮罩載體交換一遮罩裝置之方法。方法包括:對準一支承配置於一遮罩載體,遮罩載體支承遮罩裝置,支承配置係在一第二定向或第二位置中及遮罩裝置係為一第一遮罩裝置;從遮罩載體傳送第一遮罩裝置至支承配置;以及從第二定向或第二位置移動支承配置至一第一定向或第一位置中,第二定向或第二位置係為一非水平定向或非水平位置。再者,方法包括裝載一遮罩裝置於一支承配置上,支承配置用以支承遮罩裝置,遮罩裝置係為一第二遮罩裝置;從一第一定向或第一位置移動支承配置至一第二定向或第二位置中,第二定向或第二位置係為一非水平定向或非水平位置;對準支承配置於一遮罩載體;以及從支承配置傳送第二遮罩裝置至遮罩載體。According to other aspects described in this disclosure, a method for exchanging a mask device from a mask carrier is proposed. The method includes: aligning a support arrangement on a mask carrier, the mask carrier supporting the mask device, the support arrangement is in a second orientation or second position and the mask device is a first mask device; The cover carrier transfers the first masking device to the support configuration; and moves the support configuration from the second orientation or second position into a first orientation or first position, the second orientation or second position being a non-horizontal orientation or Non-horizontal position. Furthermore, the method includes loading a masking device on a supporting arrangement, the supporting arrangement supporting the masking device, the masking device being a second masking device; moving the supporting arrangement from a first orientation or a first position Out of a second orientation or second position, the second orientation or second position is a non-horizontal orientation or non-horizontal position; the alignment support is disposed on a mask carrier; and the second mask device is transferred from the support configuration to Mask carrier.

根據本揭露所述之其他方面,提出一種用以從一遮罩載體交換一遮罩裝置之設備。設備包括:一支承配置,用以支承遮罩裝置;一機構,用以於一第一狀態及一第二狀態之間移動支承配置,第二狀態係為一非水平狀態;以及一對準組件,用以對準支承配置於遮罩載體。According to other aspects described in this disclosure, a device for exchanging a masking device from a masking carrier is proposed. The equipment includes: a supporting arrangement for supporting the masking device; a mechanism for moving the supporting arrangement between a first state and a second state, the second state being a non-horizontal state; and an alignment assembly , Used to align the support and dispose on the mask carrier.

根據本揭露所述之其他方面, 提出一種用以從一遮罩載體交換一遮罩裝置之設備。設備包括:一支承配置,用以支承遮罩裝置;一機構,用以於一第一定向或第一位置及一第二定向或第二位置之間移動支承配置,第二定向或第二位置係為一非水平定向一或非水平位置;以及一對準組件,用以對準支承配置於遮罩載體。According to other aspects described in this disclosure, an apparatus for exchanging a masking device from a masking carrier is proposed. The device includes: a supporting arrangement for supporting the masking device; a mechanism for moving the supporting arrangement between a first orientation or first position and a second orientation or second position, the second orientation or second The position is a non-horizontal orientation or non-horizontal position; and an alignment component for aligning the support and disposing on the mask carrier.

根據本揭露所述之其他方面,提出一種遮罩交換腔室。遮罩交換腔室包括一磁性懸浮系統,裝配以用於支承一遮罩載體;以及根據此處所述任何實施例之一設備,用以從一遮罩載體交換一遮罩裝置。According to other aspects described in this disclosure, a mask exchange chamber is proposed. The mask exchange chamber includes a magnetic levitation system assembled to support a mask carrier; and an apparatus according to any of the embodiments described herein for exchanging a mask device from a mask carrier.

根據本揭露所述之其他方面,提出一種用以沈積一材料於一基板上的真空系統。真空系統包括根據此處所述任何實施例之一遮罩交換腔室;至少一沈積腔室;以及一遮罩傳送系統,裝配以用於在一非水平定向中於遮罩交換腔室及此至少一沈積腔室之間傳送數個將使用遮罩裝置及數個已使用遮罩裝置。According to other aspects described in this disclosure, a vacuum system for depositing a material on a substrate is proposed. The vacuum system includes a mask exchange chamber according to one of any of the embodiments described herein; at least one deposition chamber; and a mask transfer system assembled for mask exchange chambers in a non-horizontal orientation and the A number of masking devices and a number of used masking devices are transferred between at least one deposition chamber.

本揭露之其他方面、優點及特徵係透過說明及所附之圖式更為清楚。為了對本發明之上述及其他方面有更佳的瞭解,下文特舉實施例,並配合所附圖式詳細說明如下:Other aspects, advantages, and features of this disclosure will become clearer through the description and accompanying drawings. In order to have a better understanding of the above and other aspects of the present invention, the following specific examples are described in detail below in conjunction with the accompanying drawings:

詳細的參照現在將以數種實施例達成,數種實施例的一或多個例子係繪示於圖式中。各例子係藉由說明的方式提供且不意味為一限制。舉例來說,所說明或敘述而做為一實施例之部份之特徵可用於任何其他實施例或與任何其他實施例結合,以取得再其他之實施例。此意指本揭露包括此些調整及變化。Detailed reference will now be made in several embodiments, one or more examples of which are shown in the drawings. The examples are provided by way of illustration and are not meant to be limiting. For example, features illustrated or described as part of one embodiment may be used in or combined with any other embodiment to obtain yet other embodiments. This means that this disclosure includes such adjustments and changes.

在圖式之下方說明中,相同參考編號係意指相同或類似之元件。一般來說,只有有關於個別實施例之相異處係進行說明。除非另有說明,一實施例中之一部份或方面之說明可亦應用於另一實施例中之對應部份或方面。In the description below the drawings, the same reference numerals refer to the same or similar elements. Generally, only the differences between the individual embodiments are described. Unless stated otherwise, the description of one part or aspect in one embodiment can also be applied to the corresponding part or aspect in another embodiment.

在本揭露之數種實施例係更詳細說明之前,有關於此處所使用的一些名稱及用語的一些方面係進行解釋。Before the embodiments of the present disclosure are explained in more detail, some aspects of the names and terms used herein are explained.

第1圖繪示根據此處所述實施例之處理遮罩裝置10之方法的接續階段(a)、(b)、(c)、及(d)之示意圖。根據可與此處所述任何其他實施例結合之數個實施例,方法包括:裝載(X1)遮罩裝置10於支承配置20上,支承配置20用以支承遮罩裝置;從第一狀態移動(X2)支承配置20至第二狀態中,第二狀態係為非水平狀態;對準(X3)支承配置20於遮罩載體15;以及從支承配置20傳送(X4)遮罩裝置10至遮罩載體15。FIG. 1 is a schematic diagram showing successive stages (a), (b), (c), and (d) of a method of processing a masking device 10 according to an embodiment described herein. According to several embodiments that can be combined with any of the other embodiments described herein, the method includes: loading (X1) the mask device 10 on a support arrangement 20, the support arrangement 20 for supporting the mask device; moving from a first state (X2) Supporting arrangement 20 to the second state, the second state is a non-horizontal state; aligning (X3) supporting arrangement 20 on mask carrier 15; and transmitting (X4) masking device 10 to the mask from supporting arrangement 20 Hood carrier 15.

在本揭露中,名稱「狀態」可意指為「定向」及/或「位置」。特別是,「第一狀態」可意指為第一定向及/或第一位置。因此,「第二狀態」可意指為第二定向及/或第二位置。In this disclosure, the name "state" may mean "directional" and / or "location". In particular, "first state" may mean a first orientation and / or a first position. Thus, a "second state" may mean a second orientation and / or a second position.

因此,第1圖之接續階段(a)、(b)、(c)、及(d)係繪示處理遮罩裝置之方法,其中在遮罩裝置裝載至遮罩載體上,遮罩載體係用以載運遮罩裝置。特別是,如參照第1圖範例性說明之處理遮罩裝置之方法係有利地提供裝載遮罩裝置至遮罩載體上之改善方法。一般來說,裝載(X1)遮罩裝置10於支承配置20上包括裝載新的、未使用或已清洗的遮罩於支承配置上。Therefore, the continuation stages (a), (b), (c), and (d) of FIG. 1 show a method for processing a mask device, in which the mask device is loaded on a mask carrier, and the mask carrier is Used to carry the masking device. In particular, the method of processing a masking device as exemplarily described with reference to FIG. 1 advantageously provides an improved method of mounting a masking device on a mask carrier. Generally, loading (X1) the masking device 10 on the support arrangement 20 includes loading a new, unused or cleaned mask on the support arrangement.

第2圖繪示根據此處所述其他實施例之處理遮罩裝置之方法的接續階段(a)、(b)、(c)、及(d)的示意圖。根據可與此處所述任何其他實施例結合之數個實施例,方法包括:對準(Y1)支承配置20於遮罩載體15,遮罩載體15支承遮罩裝置10,支承配置係在第二狀態中;從遮罩載體15傳送(Y2)遮罩裝置10至支承配置20;及從第二狀態移動(Y3)支承配置20至第一狀態中,第二狀態係為非水平狀態。FIG. 2 is a schematic diagram showing successive stages (a), (b), (c), and (d) of a method of processing a mask device according to other embodiments described herein. According to several embodiments that can be combined with any of the other embodiments described herein, the method includes: aligning (Y1) the support arrangement 20 on the mask carrier 15, the mask carrier 15 supporting the mask device 10, and the support arrangement on the first In the two states; transferring (Y2) the masking device 10 from the mask carrier 15 to the supporting arrangement 20; and moving (Y3) the supporting arrangement 20 from the second state to the first state, the second state is a non-horizontal state.

因此,第2圖之接續階段(a)、(b)、(c)、及(d)繪示處理遮罩裝置之方法,其中遮罩裝置係從遮罩載體卸載。特別是,如參照第2圖所範例性說明,處理遮罩裝置之方法有利地提供從遮罩載體卸載遮罩裝置之改善方法。一般來說,從遮罩載體卸載遮罩裝置包括卸載已使用遮罩,也就是用於遮蔽基板沈積之已使用遮罩。Therefore, the continuation stages (a), (b), (c), and (d) of FIG. 2 illustrate a method of processing a masking device, in which the masking device is unloaded from the mask carrier. In particular, as exemplarily described with reference to FIG. 2, the method of processing a masking device advantageously provides an improved method of unloading a masking device from a mask carrier. Generally, unloading a masking device from a mask carrier includes unloading a used mask, that is, a used mask for shielding substrate deposition.

特別是,將理解的是,如此處所述之處理遮罩裝置的方法之數個實施例包括利用根據此處所述數個實施例之用以交換遮罩裝置10的設備100。再者,將理解的是,藉由結合參照第2圖所範例性說明之處理遮罩裝置之方法及參照第1圖所範例性說明之處理遮罩裝置之方法,可提供交換遮罩裝置之改善方法。因此,藉由如此處所述之交換遮罩裝置,已使用遮罩可從遮罩載體卸載而舉例為用於清洗,及新的或已清洗的遮罩可裝載於遮罩載體上。In particular, it will be understood that several embodiments of the method of processing a masking device as described herein include utilizing a device 100 for exchanging the masking device 10 according to several embodiments described herein. Further, it will be understood that by combining the method of processing a mask device exemplarily described with reference to FIG. 2 and the method of processing a mask device exemplarily described with reference to FIG. Improve methods. Thus, by exchanging the mask device as described herein, used masks can be unloaded from the mask carrier for example for cleaning, and new or cleaned masks can be loaded on the mask carrier.

於本揭露中,「遮罩裝置」將理解為裝配以用於遮蔽於基板上之沈積的遮罩裝置。特別是,遮罩裝置係裝配以配置於將塗佈材料圖案的基板之前方,材料圖案由遮罩裝置所定義。舉例來說,遮罩裝置可裝配以用於遮蔽蒸發製程,其中材料圖案係藉由蒸發形成於基板上。已蒸發材料可於一些實施例中包括有機化合物。舉例來說,可製造有機發光二極體(OLED)裝置。In this disclosure, "masking device" will be understood as a masking device that is assembled for shielding deposition on a substrate. In particular, the mask device is assembled and arranged in front of the substrate on which a material pattern is applied, and the material pattern is defined by the mask device. For example, the masking device can be assembled for masking the evaporation process, wherein the material pattern is formed on the substrate by evaporation. The evaporated material may include organic compounds in some embodiments. For example, organic light emitting diode (OLED) devices can be manufactured.

於一些實施例中,遮罩裝置可包括遮罩及遮罩支承件。遮罩支承件可裝配以用於支撐及支承遮罩,遮罩一般係為精密元件。舉例來說,遮罩支承件可為圍繞遮罩及具有框架的形狀之遮罩框架。遮罩可舉例為藉由銲接永久地固定於遮罩框架,或遮罩可為可釋放地固定於遮罩框架。遮罩之周圍邊緣可固定於遮罩框架。In some embodiments, the masking device may include a mask and a mask support. The mask support can be assembled for supporting and supporting the mask, and the mask is generally a precision component. For example, the mask support may be a mask frame that surrounds the mask and has the shape of a frame. The mask may be, for example, permanently fixed to the mask frame by welding, or the mask may be releasably fixed to the mask frame. The peripheral edge of the mask can be fixed to the mask frame.

遮罩可包括數個開孔。此些開孔形成圖案,且裝配以藉由遮蔽沈積製程來沈積對應之材料圖案於基板上。在沈積期間,遮罩可配置於基板的前方之近距離處,或直接接觸基板之前表面。舉例來說,遮罩可為精密金屬遮罩(fine metal mask,FMM),具有數個開孔,舉例為100,000個開孔或更多個開孔。舉例來說,有機像素之圖案可沈積於基板上。其他形式之遮罩係可行的,舉例為邊緣排除遮罩(edge exclusion masks)。The mask may include several openings. These openings form a pattern and are assembled to deposit a corresponding material pattern on the substrate by a shadow deposition process. During the deposition, the mask can be placed at a short distance in front of the substrate or directly contact the front surface of the substrate. For example, the mask may be a fine metal mask (FMM), which has several openings, such as 100,000 openings or more. For example, patterns of organic pixels may be deposited on a substrate. Other forms of masking are possible, for example edge exclusion masks.

於一些實施例中,遮罩裝置可至少部份以金屬製成,舉例為以具有小的熱膨脹係數之金屬製成,例如是銦鋼(invar)。遮罩可包括磁性材料,使得遮罩可在沈積期間朝向基板磁性吸引。遮罩框架可替代地或額外地包括磁性材料,使得遮罩裝置可經由磁力吸引至遮罩載體。In some embodiments, the masking device may be at least partially made of metal, for example, a metal having a small thermal expansion coefficient, such as invar. The mask may include a magnetic material so that the mask may be magnetically attracted toward the substrate during deposition. The mask frame may alternatively or additionally include a magnetic material so that the mask device may be attracted to the mask carrier via magnetic force.

遮罩裝置可具有0.5 m2 或更多之面積,特別是1 m2 或更多之面積。舉例來說,遮罩裝置之高度可為0.5 m或更多,特別是1 m或更多,及/或遮罩裝置之寬度可為0.5 m或更多,特別是1 m或更多。遮罩裝置之厚度可為1 cm或更少,其中遮罩框架可厚於遮罩。The masking device may have an area of 0.5 m 2 or more, especially an area of 1 m 2 or more. For example, the height of the masking device may be 0.5 m or more, especially 1 m or more, and / or the width of the masking device may be 0.5 m or more, especially 1 m or more. The thickness of the masking device may be 1 cm or less, where the mask frame may be thicker than the mask.

範例性參照第1(c)圖及第2(a)圖,根據可與此處所述任何其他實施例結合之數個實施例,對準(X3, Y1)支承配置20於遮罩載體15包括相對於支承配置20移動(Z2)一或多個對準元件22。特別是,如第1(c)及2(a)圖中所範例性繪示,此一或多個對準元件22可於朝向遮罩載體15之一方向中移動。一般來說,支承配置20之此一或多個對準元件22係裝配以用於卡合於遮罩載體之一或多個對應對準元件16,而用以提供支承配置20及遮罩載體15之間的對準。舉例來說,支承配置20之此一或多個對準元件22可包括一或多個對準銷27。遮罩載體之此一或多個對應對準元件16可包括一或多個凹槽,裝配以用於容置支承配置20之此一或多個對準元件22,特別是用於容置此一或多個對準銷27。Exemplarily referring to Figs. 1 (c) and 2 (a), according to several embodiments that can be combined with any of the other embodiments described herein, the (X3, Y1) support arrangement 20 is on the mask carrier 15 It includes moving (Z2) one or more alignment elements 22 relative to the support arrangement 20. In particular, as exemplarily shown in FIGS. 1 (c) and 2 (a), the one or more alignment elements 22 can be moved in one direction toward the mask carrier 15. Generally, the one or more alignment elements 22 of the support arrangement 20 are assembled for engaging with one or more corresponding alignment elements 16 of the mask carrier to provide the support arrangement 20 and the mask carrier. 15 alignment. For example, the one or more alignment elements 22 of the support arrangement 20 may include one or more alignment pins 27. The one or more corresponding alignment elements 16 of the mask carrier may include one or more grooves, which are adapted to receive the one or more alignment elements 22 of the support arrangement 20, in particular to accommodate this. One or more alignment pins 27.

如第1(d)圖及第2(a)圖所範例性繪示,遮罩載體15可支承遮罩裝置10於非水平定向(V)中。舉例來說,舉例為在傳送期間及/或沈積期間,遮罩裝置10可由遮罩載體15支承於本質上垂直定向中。As exemplarily shown in Figures 1 (d) and 2 (a), the mask carrier 15 can support the mask device 10 in a non-horizontal orientation (V). By way of example, the masking device 10 may be supported by the mask carrier 15 in a substantially vertical orientation during transfer and / or deposition.

此處所述之「本質上垂直定向」可理解為遮罩裝置10之一定向,其中遮罩裝置10之主表面及重力向量之間的角度係為+10°及-10°之間,特別是0°及-5°之間。於一些實施例中,舉例為在傳送期間及/或沈積期間,遮罩之定向可不為(準確)垂直,但相對於垂直軸略微地傾斜舉例為0°及-5°之間的傾斜角。負角度意指遮罩裝置之一定向,其中遮罩裝置係向下傾斜。從重力向量之遮罩(及基板)定向的偏差可為有利的及可能致使更穩定的沈積製程,或面向下定向可適用於在沈積期間減少基板上的粒子。然而,舉例為在傳送期間及/或沈積期間,遮罩裝置之準確垂直定向(+/-1°)係亦為可行的。The “essentially vertical orientation” described herein can be understood as an orientation of the masking device 10, wherein the angle between the main surface of the masking device 10 and the gravity vector is between + 10 ° and -10 °, especially It is between 0 ° and -5 °. In some embodiments, for example during the transfer and / or deposition, the orientation of the mask may not be (accurate) vertical, but slightly tilted with respect to the vertical axis, for example an inclination angle between 0 ° and -5 °. Negative angle means one orientation of the masking device, where the masking device is tilted downward. Deviation from the orientation of the mask (and substrate) of the gravity vector may be advantageous and may result in a more stable deposition process, or downward orientation may be suitable for reducing particles on the substrate during deposition. However, for example, during transfer and / or deposition, accurate vertical orientation (+/- 1 °) of the masking device is also possible.

舉例為在傳送期間及/或沈積期間,重力向量及遮罩裝置之間的較大角度係亦為可行的。0°及+/-80°之間的角度可理解為此處所使用的「遮罩裝置之非水平定向」。舉例來說,在非水平定向中傳送遮罩裝置可具有優點,以節省空間及允許較小的真空腔室。For example, a larger angle system between the gravity vector and the masking device is also possible during transmission and / or deposition. The angle between 0 ° and +/- 80 ° can be understood as the "non-horizontal orientation of the masking device" as used herein. For example, transferring a masking device in a non-horizontal orientation may have advantages to save space and allow smaller vacuum chambers.

特別是,如第1及2圖中所範例性繪示,此一或多個對準元件22可包括二或多個對準元件。舉例來說,範例性參照第1(d)圖及第2(b)圖,可提供舉例為第一對準銷之第一對準元件22A。第一對準元件22A係配置及裝配,以用於卡合於第一對應對準元件16A。第一對應對準元件16A舉例為第一凹槽,提供於遮罩載體15中。再者,可提供舉例為第二對準銷之第二對準元件22B。第二對準元件22B係配置及裝配,以用於卡合於第二對應對準元件16B。第二對應對準元件16B舉例為第二凹槽,提供於遮罩載體15中。根據一例子,用以交換遮罩裝置之設備100可包括四個對準元件。此四個對準元件耦接於個別的致動器,用以移動此四個對準元件之各者。因此,遮罩載體15可包括四個對應對準元件,裝配以用於分別容置此四個對準元件,特別是此四個對準元件之個別的對準銷27。In particular, as exemplarily shown in FIGS. 1 and 2, the one or more alignment elements 22 may include two or more alignment elements. For example, referring to FIG. 1 (d) and FIG. 2 (b) by way of example, a first alignment element 22A which is an example of a first alignment pin may be provided. The first alignment element 22A is configured and assembled for engaging with the first corresponding alignment element 16A. The first corresponding alignment element 16A is, for example, a first groove provided in the mask carrier 15. Furthermore, a second alignment element 22B may be provided as a second alignment pin. The second alignment element 22B is configured and assembled for engaging with the second corresponding alignment element 16B. The second corresponding alignment element 16B is an example of a second groove provided in the mask carrier 15. According to an example, the device 100 for exchanging the masking device may include four alignment elements. The four alignment elements are coupled to individual actuators for moving each of the four alignment elements. Therefore, the mask carrier 15 may include four corresponding alignment elements, which are assembled for accommodating the four alignment elements, in particular, the individual alignment pins 27 of the four alignment elements.

如第1(d)圖及第2(b)圖範例性所示,支承配置20之第一對準元件22A可設置於支承配置20之第一端20A,及第二對準元件22B可設置於支承配置20之第二端20B。一般來說,支承配置20之第二端20B係相反於支承配置20之第一端20A。遮罩載體15之第一對應對準元件16A可提供於遮罩載體15之第一端15A,及第二對應對準元件16B可提供於遮罩載體15之第二端15B。一般來說,遮罩載體15之第一端15A係相反於遮罩載體15之第二端15B。舉例來說,遮罩載體15之第一端15A可為遮罩載體15之上端,及遮罩載體15之第二端15B可為遮罩載體15之下端,如第1(d)圖及第2(b)圖中所範例性繪示。As exemplarily shown in FIGS. 1 (d) and 2 (b), the first alignment element 22A of the support arrangement 20 may be provided at the first end 20A of the support arrangement 20, and the second alignment element 22B may be provided At the second end 20B of the support arrangement 20. Generally, the second end 20B of the support arrangement 20 is opposite to the first end 20A of the support arrangement 20. The first corresponding alignment element 16A of the mask carrier 15 may be provided at the first end 15A of the mask carrier 15, and the second corresponding alignment element 16B may be provided at the second end 15B of the mask carrier 15. Generally, the first end 15A of the mask carrier 15 is opposite to the second end 15B of the mask carrier 15. For example, the first end 15A of the mask carrier 15 may be the upper end of the mask carrier 15 and the second end 15B of the mask carrier 15 may be the lower end of the mask carrier 15 as shown in Figure 1 (d) and 2 (b) is shown as an example.

因此,根據可與此處所述任何其他實施例結合之數個實施例,對準(X3, Y1)支承配置20於遮罩載體15可包括卡合一或多個對準元件22於遮罩載體15,特別是卡合此一或多個對準元件22於遮罩載體15之一或多個對應對準元件16,如第1及2圖中所範例性所示。Therefore, according to several embodiments that can be combined with any of the other embodiments described herein, the alignment (X3, Y1) support arrangement 20 on the mask carrier 15 may include engaging one or more alignment elements 22 on the mask The carrier 15, in particular, the one or more alignment elements 22 are engaged with one or more corresponding alignment elements 16 of the mask carrier 15, as exemplarily shown in FIGS. 1 and 2.

根據可與此處所述任何其他實施例結合之數個實施例,對準(X3, Y1)支承配置20於遮罩載體可包括升舉(Z1)遮罩載體,如第1(c)圖及第2(a)圖中所範例性繪示。特別是,升舉(Z1)遮罩載體可包括利用電磁配置35,電磁配置35用以產生作用於遮罩載體15上之磁力。於本揭露之圖式中,啟動之電磁配置係藉由陰影且具有撇號在參考編號之後方表示,也就是35’。舉例來說,電磁配置35可為部份之遮罩傳送系統,遮罩傳送系統用以在如此處所述之真空系統中傳送遮罩載體。電磁配置35可額外地或替代地為磁性懸浮系統,裝配以用於藉由來自上方的磁力支承遮罩載體15之重量的至少一部份。According to several embodiments that can be combined with any of the other embodiments described herein, the alignment (X3, Y1) support arrangement 20 on the mask carrier may include a lift (Z1) mask carrier, as shown in Figure 1 (c) And the example shown in Figure 2 (a). In particular, the lifting (Z1) mask carrier may include utilizing an electromagnetic arrangement 35 for generating a magnetic force acting on the mask carrier 15. In the drawings of this disclosure, the activated electromagnetic configuration is indicated by a shadow and an apostrophe after the reference number, which is 35 ′. For example, the electromagnetic configuration 35 may be part of a mask transfer system that is used to transfer a mask carrier in a vacuum system as described herein. The electromagnetic arrangement 35 may additionally or alternatively be a magnetic levitation system, fitted to support at least a part of the weight of the mask carrier 15 by magnetic force from above.

特別是,升舉遮罩載體可包括特別是在垂直方向中釋放遮罩載體15之支撐元件18及支撐配置40之間的接觸,支撐配置40用以支承遮罩載體15。再者,藉由升舉(Z1)遮罩載體,載體對準銷17可於容置部(reception)13中移動,容置部13提供於遮罩裝置10,如第1(c)圖及第2(a)圖中所範例性繪示。特別是,藉由升舉(Z1)遮罩載體,載體對準銷17可從容置部13之第一表面移動至容置部13之第二表面。一般來說,容置部13之第一表面及容置部13之第二表面係提供用於載體對準銷17之鄰接表面。In particular, lifting the mask carrier may include releasing the contact between the support element 18 of the mask carrier 15 and the support arrangement 40, in particular in a vertical direction, the support arrangement 40 being used to support the mask carrier 15. Furthermore, by lifting (Z1) the mask carrier, the carrier alignment pin 17 can be moved in the accommodation portion 13 which is provided in the mask device 10, as shown in FIG. 1 (c) and The example shown in Figure 2 (a). In particular, by lifting the cover (Z1), the carrier alignment pin 17 can be moved from the first surface of the accommodating portion 13 to the second surface of the accommodating portion 13. Generally, the first surface of the accommodating portion 13 and the second surface of the accommodating portion 13 provide abutting surfaces for the carrier alignment pins 17.

根據可與此處所述任何其他實施例結合之數個實施例,傳送(X4, Y2)遮罩裝置10包括利用一或多個電磁元件23,此一或多個電磁元件23用以提供作用於遮罩裝置10上之磁力。在本揭露之圖式中,啟動之電磁元件係由陰影及具有撇號(apostrophe)於參考編號之後方表示,也就是23’。According to several embodiments that can be combined with any of the other embodiments described herein, the transmission (X4, Y2) masking device 10 includes the use of one or more electromagnetic elements 23 which are used to provide an effect Magnetic force on the masking device 10. In the drawings disclosed in this disclosure, the activated electromagnetic component is indicated by a shadow and an apostrophe after the reference number, which is 23 '.

特別是,如第1(d)圖中所範例性繪示,為了從支承配置20傳送遮罩裝置10至遮罩載體15,支承配置之電磁元件23係未啟動,及遮罩載體15之電磁元件23’係啟動。因此,如第2(b)圖中所範例性繪示,為了從遮罩載體15傳送遮罩裝置10至支承配置20,遮罩載體15之電磁元件23係未啟動,及支承配置20之電磁元件23’係啟動。In particular, as shown by way of example in FIG. 1 (d), in order to transfer the masking device 10 from the support arrangement 20 to the mask carrier 15, the electromagnetic element 23 of the support arrangement is not activated, and the electromagnetic of the mask carrier 15 Element 23 'is activated. Therefore, as exemplarily shown in FIG. 2 (b), in order to transfer the mask device 10 from the mask carrier 15 to the supporting arrangement 20, the electromagnetic element 23 of the mask carrier 15 is not activated, and the electromagnetic of the supporting arrangement 20 Element 23 'is activated.

因此,支承配置20可包括一或多個電磁元件23,裝配以用於支承遮罩裝置10於支承配置20。再者,遮罩載體15可包括一或多個電磁元件23,裝配以用於支承遮罩裝置10於遮罩載體15。舉例來說,遮罩載體15之此一或多個電磁元件23可整合於遮罩載體15中。Therefore, the support arrangement 20 may include one or more electromagnetic elements 23 that are assembled to support the masking device 10 in the support arrangement 20. Furthermore, the mask carrier 15 may include one or more electromagnetic elements 23, which are assembled to support the mask device 10 on the mask carrier 15. For example, the one or more electromagnetic elements 23 of the mask carrier 15 may be integrated in the mask carrier 15.

範例性參照第2(c)圖,在從第二狀態移動(Y3)支承配置(20)至第一狀態之前,此方法可包括從遮罩載體15縮回(Y23)此一或多個對準元件22。Exemplarily referring to Figure 2 (c), before moving (Y3) the support arrangement (20) from the second state to the first state, the method may include retracting (Y23) the one or more pairs from the mask carrier 15准 Element22.

根據可與此處所述任何其他實施例結合之數個實施例,第一狀態係為實質上水平狀態(H),如第1(a)及2(d)圖中範例性所示。特別是,第一狀態係遮罩裝置之主表面及水平面之間的角度為30°或更少之一狀態。According to several embodiments that can be combined with any of the other embodiments described herein, the first state is a substantially horizontal state (H), as exemplarily shown in Figs. 1 (a) and 2 (d). In particular, the first state is a state in which the angle between the main surface of the mask device and the horizontal plane is 30 ° or less.

根據可與此處所述任何其他實施例結合之數個實施例,第二狀態係為實質上垂直狀態,如第1(b)、(c)、(d)圖及第2(a)、(b)、(c)圖中所範例性繪示。特別是,如第3圖中所範例性繪示,第二狀態可為一狀態,其中遮罩裝置10之主表面10A及重力向量G之間的角度α係為+10°及-10°之間。特別是,遮罩裝置10之主表面10A及重力向量G之間的角度α可為+5°及-5°之間。根據一例子,角度α係為α = +3°或α = -3°。According to several embodiments that can be combined with any of the other embodiments described herein, the second state is a substantially vertical state, such as Figures 1 (b), (c), (d), and 2 (a), (b), (c) Exemplary illustrations. In particular, as exemplarily shown in FIG. 3, the second state may be a state in which the angle α between the main surface 10A of the mask device 10 and the gravity vector G is between + 10 ° and -10 °. between. In particular, the angle α between the main surface 10A of the mask device 10 and the gravity vector G may be between + 5 ° and -5 °. According to an example, the angle α is α = + 3 ° or α = -3 °.

範例性參照第1(b)圖及第2(d)圖,根據可與此處所述任何其他實施例結合之數個實施例,移動(X2, Y3)支承配置20包括繞著旋轉軸25旋轉(或擺動)支承配置,特別是藉由利用機構24。機構24用以移動如此處所述之支承配置20。特別是,旋轉軸25可在水平面中。Exemplarily referring to Figs. 1 (b) and 2 (d), according to several embodiments that can be combined with any of the other embodiments described herein, the moving (X2, Y3) support arrangement 20 includes a rotation axis 25 Rotary (or oscillating) support arrangements, particularly by using the mechanism 24. The mechanism 24 is used to move a support arrangement 20 as described herein. In particular, the rotation shaft 25 may be in a horizontal plane.

在第3(a)及3(b)圖中,根據此處所述實施例之用以從遮罩載體交換遮罩裝置之方法的兩個階段係繪示。特別是,第3(a)圖繪示一階段,其中用以產生作用於遮罩載體15上之磁力的電磁配置35’係啟動,及遮罩載體係懸浮。第3(b)圖繪示一階段,其中用以產生作用於遮罩載體15上之磁力的電磁配置35係未啟動,及遮罩載體係下降(drop)至支撐配置40上。因此,在從遮罩載體15傳送(Y2)遮罩裝置10至支承配置20及縮回(Y23)此一或多個對準元件22之後,從遮罩載體卸載遮罩裝置可包括下降(Z3)遮罩載體到舉例為支撐配置40上,支撐配置40用以支承遮罩載體15。特別是,下降(Z3)遮罩載體可包括未啟動電磁配置35,電磁配置35用以產生作用於遮罩載體15上之磁力。In Figures 3 (a) and 3 (b), two stages of a method for exchanging a mask device from a mask carrier according to the embodiments described herein are shown. In particular, Fig. 3 (a) shows a stage in which an electromagnetic arrangement 35 'for generating a magnetic force acting on the mask carrier 15 is activated, and the mask carrier is suspended. Figure 3 (b) shows a stage in which the electromagnetic arrangement 35 used to generate the magnetic force acting on the mask carrier 15 is not activated, and the mask carrier is dropped onto the support arrangement 40. Therefore, after transferring (Y2) the mask device 10 from the mask carrier 15 to the support arrangement 20 and retracting (Y23) the one or more alignment elements 22, unloading the mask device from the mask carrier may include lowering (Z3 ) The mask carrier is exemplified by a support arrangement 40, which is used to support the mask carrier 15. In particular, the descending (Z3) mask carrier may include an unactivated electromagnetic configuration 35 for generating a magnetic force acting on the mask carrier 15.

如從遮罩裝置10及遮罩載體15之第3(c)圖中之代表圖可理解,提供第二狀態,使得遮罩裝置10之主表面10A及重力向量G之間的角度α係提供。也就是說,遮罩裝置及遮罩載體係相對於重力向量G傾斜而具有優點,在從遮罩區域舉例為藉由下降(Z3)遮罩載體來分離遮罩裝置期間,因遮罩裝置及遮罩載體之間的表面與表面接觸所產生的摩擦力可避免。As can be understood from the representative diagrams in FIG. 3 (c) of the mask device 10 and the mask carrier 15, the second state is provided so that the angle α between the main surface 10A of the mask device 10 and the gravity vector G is provided . That is, the mask device and the mask carrier are inclined relative to the gravity vector G, which has advantages. During the separation of the mask device from the mask area by descent (Z3) the mask carrier, the mask device and Friction caused by surface-to-surface contact between the mask carriers can be avoided.

第4圖繪示根據此處所述實施例之處理遮罩裝置之方法400的流程圖。方塊410表示裝載遮罩裝置10於支承配置20上之動作,支承配置20用以支承遮罩裝置,如參照第1(a)圖所範例性說明。方塊420表示從第一狀態移動(X2)支承配置20至第二狀態之動作,第二狀態係為非水平狀態,如參照第1(b)圖所範例性說明。方塊430表示對準(X3)支承配置20於遮罩載體15之動作,如參照第1(c)圖所範例性說明。方塊440表示從支承配置20傳送(X4)遮罩裝置10至遮罩載體15之動作,如參照第1(d)圖所範例性說明。FIG. 4 shows a flowchart of a method 400 for processing a masking device according to the embodiments described herein. Block 410 shows the operation of loading the masking device 10 on the supporting arrangement 20, and the supporting arrangement 20 is used to support the masking device, as exemplarily described with reference to FIG. 1 (a). Block 420 represents the movement of moving (X2) the support arrangement 20 from the first state to the second state. The second state is a non-horizontal state, as exemplarily described with reference to FIG. 1 (b). Block 430 represents the operation of aligning (X3) the support arrangement 20 on the mask carrier 15, as exemplarily described with reference to FIG. 1 (c). Block 440 represents the operation of transferring (X4) the mask device 10 to the mask carrier 15 from the support arrangement 20, as exemplarily explained with reference to FIG. 1 (d).

範例性參照第5圖中所示之流程圖,根據此處所述其他實施例之處理遮罩裝置的方法500係繪示。方塊510表示對準(Y1)支承配置20於支承遮罩裝置10之遮罩載體15的動作,支承配置係在第二狀態中,如參照第2(a)圖所範例性說明。方塊520表示從遮罩載體15傳送(Y2)遮罩裝置10至支承配置20之動作,如參照第2(b)圖所範例性說明。方塊530表示從第二狀態移動(Y3)支承配置(20)至第一狀態,第二狀態係為非水平狀態,如參照第2(d)圖所範例性說明。For example, referring to the flowchart shown in FIG. 5, a method 500 for processing a mask device according to other embodiments described herein is shown. Block 510 shows the operation of aligning (Y1) the support arrangement 20 on the mask carrier 15 of the support mask device 10, and the support arrangement is in the second state, as exemplarily described with reference to FIG. 2 (a). Block 520 represents the operation of transferring (Y2) the mask device 10 from the mask carrier 15 to the support arrangement 20, as exemplarily explained with reference to FIG. 2 (b). Block 530 represents moving (Y3) the support arrangement (20) from the second state to the first state, and the second state is a non-horizontal state, as exemplarily described with reference to FIG. 2 (d).

第6圖繪示根據此處所述實施例之交換遮罩裝置之方法600的流程圖。方法600包括:執行參照第2及5圖範例性說明之處理遮罩裝置之方法500,其中遮罩裝置係為第一遮罩裝置。再者,方法600包括:執行參照第1及4圖範例性說明之處理遮罩裝置之方法400,其中遮罩裝置係為第二遮罩裝置。舉例來說,第一遮罩裝置可為已使用遮罩裝置,及第二遮罩裝置可為將使用遮罩裝置。FIG. 6 is a flowchart of a method 600 for exchanging a mask device according to the embodiment described herein. The method 600 includes: performing a method 500 for processing a mask device exemplarily described with reference to FIGS. 2 and 5, wherein the mask device is a first mask device. Furthermore, the method 600 includes: performing a method 400 for processing a mask device exemplarily described with reference to FIGS. 1 and 4, wherein the mask device is a second mask device. For example, the first mask device may be a used mask device, and the second mask device may be a used mask device.

此處所使用之「將使用遮罩裝置」可理解為將傳送至至少一沈積腔室中來使用於遮蔽基板上之沈積的遮罩裝置。於一些實施例中,將使用遮罩裝置可為新的遮罩裝置、已清洗遮罩裝置或已經經歷服務或維護的遮罩裝置。As used herein, a "masking device to be used" can be understood as a masking device that is transferred to at least one deposition chamber for masking deposition on a substrate. In some embodiments, the masking device to be used may be a new masking device, a cleaned masking device, or a masking device that has undergone service or maintenance.

此處所使用之「已使用遮罩裝置」可理解為已經使用於在沈積腔室中遮蔽沈積之遮罩裝置。已使用遮罩裝置係將傳送離開沈積腔室,舉例為用於清洗或維護。舉例來說,已使用遮罩裝置係將從真空系統卸載,舉例為用以在大氣壓力下清洗。藉由使用遮罩裝置來遮蔽在一或多個基板上之沈積,將使用遮罩裝置變成已使用遮罩裝置。一般來說,遮罩裝置係使用於遮蔽在十個或更多個基板上之沈積,於是遮罩裝置可進行清洗。在清洗之後,遮罩裝置可再度裝載於真空系統中,以將使用來遮蔽沈積。As used herein, a "used masking device" can be understood as a masking device that has been used to shield deposition in a sedimentation chamber. The masking device has been used to transfer the delivery out of the deposition chamber, for example for cleaning or maintenance. For example, a masking device has been used to unload from a vacuum system, for example for cleaning under atmospheric pressure. By using a masking device to mask deposition on one or more substrates, the used masking device is changed to a used masking device. In general, the masking device is used to shield the deposition on ten or more substrates, so the masking device can be cleaned. After cleaning, the masking device can be reloaded in a vacuum system to be used to mask the deposition.

特別是,交換遮罩裝置之方法600通常包括:對準(Y1)支承配置20於支承遮罩裝置10之遮罩載體15,支承配置20係在第二狀態中,及遮罩裝置10係為第一遮罩裝置;從遮罩載體15傳送(Y2)第一遮罩裝置至支承配置20;及從第二狀態移動(Y3)支承配置20至第一狀態,第二狀態係為非水平狀態。再者,方法600包括裝載(X1)遮罩裝置10於支承配置20上,支承配置20用以支承遮罩裝置10,遮罩裝置係為第二遮罩裝置;從第一狀態移動(X2)支承配置20至第二狀態中,第二狀態係為非水平狀態;對準(X3)支承配置20於遮罩載體15;以及從支承配置20傳送(X4)第二遮罩裝置至遮罩載體15。In particular, the method 600 of exchanging the mask device generally includes: aligning (Y1) the support arrangement 20 with the mask carrier 15 supporting the mask device 10, the support arrangement 20 is in the second state, and the mask device 10 is A first masking device; transmitting (Y2) the first masking device from the mask carrier 15 to the supporting arrangement 20; and moving (Y3) the supporting arrangement 20 from the second state to the first state, the second state being a non-horizontal state . Furthermore, the method 600 includes loading (X1) the masking device 10 on the supporting arrangement 20, the supporting arrangement 20 is used to support the masking device 10, and the masking device is a second masking device; moving from the first state (X2) Of the support arrangement 20 to the second state, the second state is a non-horizontal state; align (X3) the support arrangement 20 on the mask carrier 15; and transfer (X4) the second mask device from the support arrangement 20 to the mask carrier 15.

如參照第1-3圖範例性說明,根據本揭露之一方面,提出用以從遮罩載體15交換遮罩裝置10之設備100。特別是,根據可與此處所述任何其他實施例結合之數個實施例,設備100包括:支承配置20,用以支承遮罩裝置10;機構24,用以在第一狀態及第二狀態之間移動支承配置20,第二狀態係為非水平狀態;及對準組件26,用以對準支承配置20於遮罩載體15。舉例來說,用以移動支承配置20之機構24可為旋轉機構,用以繞著旋轉軸25旋轉支承配置20。更特別是,用以移動支承配置20之機構24可為自動機構。舉例來說,支承配置20可包括機械臂,裝配以舉例為使用磁力來支承遮罩裝置。According to an exemplary description with reference to FIGS. 1-3, according to one aspect of the present disclosure, a device 100 for exchanging the mask device 10 from the mask carrier 15 is proposed. In particular, according to several embodiments that can be combined with any of the other embodiments described herein, the device 100 includes: a support arrangement 20 for supporting the masking device 10; and a mechanism 24 for use in a first state and a second state The support arrangement 20 is moved between, the second state is a non-horizontal state, and an alignment component 26 is used to align the support arrangement 20 on the mask carrier 15. For example, the mechanism 24 for moving the support arrangement 20 may be a rotation mechanism for rotating the support arrangement 20 around the rotation axis 25. More specifically, the mechanism 24 for moving the support arrangement 20 may be an automatic mechanism. For example, the support arrangement 20 may include a robotic arm, assembled to exemplify the use of magnetic force to support the masking device.

特別是,範例性參照第1(a)及2(d)圖,第一狀態可為實質上水平狀態。更特別是,第一狀態可為一狀態,其中遮罩裝置之主表面及水平面之間的角度係為30°或更少。第二狀態可為實質上垂直狀態,如第1(b)圖及第2(a)圖中所範例性繪示。更特別是,第二狀態可為一狀態,其中遮罩裝置之主表面及重力向量之間的角度係為+10°及-10°之間。In particular, referring to Figures 1 (a) and 2 (d) as an example, the first state may be a substantially horizontal state. More specifically, the first state may be a state in which the angle between the main surface of the mask device and the horizontal plane is 30 ° or less. The second state may be a substantially vertical state, as exemplarily shown in FIGS. 1 (b) and 2 (a). More specifically, the second state may be a state in which the angle between the main surface of the mask device and the gravity vector is between + 10 ° and -10 °.

根據可與此處所述任何其他實施例結合之數個實施例,對準組件26包括一或多個對準元件22,此一或多個對準元件22係相對於支承配置20為可移動的。特別是,如第1(c)及2(a)圖中範例性所示,此一或多個對準元件22可裝配以用於在朝向遮罩載體15之一方向中為可移動的。再者,如第2(c)圖中範例性所示,此一或多個對準元件22可裝配以用於在遠離遮罩載體15之一方向中為可移動的。舉例來說,此一或多個對準元件22可設置有驅動器,舉例為線性致動器,用以相對於支承配置20移動此一或多個對準元件。According to several embodiments that can be combined with any of the other embodiments described herein, the alignment assembly 26 includes one or more alignment elements 22 that are movable relative to the support arrangement 20 of. In particular, as exemplarily shown in FIGS. 1 (c) and 2 (a), the one or more alignment elements 22 may be assembled for being movable in a direction toward the mask carrier 15. Furthermore, as exemplarily shown in FIG. 2 (c), the one or more alignment elements 22 may be assembled to be movable in a direction away from the mask carrier 15. For example, the one or more alignment elements 22 may be provided with a driver, such as a linear actuator, to move the one or more alignment elements relative to the support arrangement 20.

根據可與此處所述任何其他實施例結合之數個實施例,支承配置20之此一或多個對準元件22係裝配,以用於卡合於遮罩載體之一或多個對應對準元件16來提供支承配置20及遮罩載體15之間的對準。舉例來說,支承配置20之此一或多個對準元件22可包括一或多個對準銷27。遮罩載體之此一或多個對應對準元件16可包括一或多個凹槽,裝配以用以容置支承配置20之此一或多個對準元件22,特別是此一或多個對準銷27。According to several embodiments that can be combined with any of the other embodiments described herein, the one or more alignment elements 22 of the support arrangement 20 are assembled for snapping onto one or more corresponding pairs of mask carriers. A collimation element 16 is provided to provide alignment between the support arrangement 20 and the mask carrier 15. For example, the one or more alignment elements 22 of the support arrangement 20 may include one or more alignment pins 27. The one or more corresponding alignment elements 16 of the mask carrier may include one or more grooves, which are configured to receive the one or more alignment elements 22 of the support arrangement 20, in particular the one or more Alignment pin 27.

根據一例子,用以交換遮罩裝置10之設備100可包括四個對準元件22,耦接於個別的致動器,用以移動個別之對準元件。因此,遮罩載體15可包括四個對應對準元件16,裝配以用於容置此四個對準元件22,特別是此四個對準元件22之個別的對準銷27。According to an example, the device 100 for exchanging the masking device 10 may include four alignment elements 22 coupled to individual actuators for moving the individual alignment elements. Therefore, the mask carrier 15 may include four corresponding alignment elements 16, which are assembled to receive the four alignment elements 22, particularly the individual alignment pins 27 of the four alignment elements 22.

根據可與此處所述任何其他實施例結合之數個實施例,支承配置20包括一或多個電磁元件23,用以藉由磁力支承遮罩裝置10。因此,於本揭露中,此處所述之「支承配置」係理解為一配置,裝配以用於支承遮罩裝置,特別是使用支承配置之遮罩支承部份及遮罩裝置之間的磁性交互作用。According to several embodiments that can be combined with any of the other embodiments described herein, the support arrangement 20 includes one or more electromagnetic elements 23 for supporting the masking device 10 magnetically. Therefore, in this disclosure, the "supporting arrangement" is understood as a configuration that is assembled to support a masking device, and in particular, the magnetism between the masking support portion of the supporting arrangement and the masking device is used. Interaction.

將理解的是,有關於用以交換遮罩裝置之設備100之實施例所說明的特徵可亦應用於此處所述之方法的實施例。It will be understood that the features described with respect to the embodiment of the apparatus 100 for exchanging masking devices may also be applied to embodiments of the method described herein.

第7圖繪示根據此處所述實施例之遮罩交換腔室300之示意圖。如第7圖中所範例性繪示,遮罩交換腔室300包括磁性懸浮系統350,裝配以用於支承遮罩載體15。再者,遮罩交換腔室300包括根據此處所述任何實施例之用以從遮罩載體15交換遮罩裝置10之設備100。因此,用以交換遮罩裝置之設備100可配置於遮罩交換腔室300中。FIG. 7 is a schematic diagram of the mask exchange chamber 300 according to the embodiment described herein. As exemplarily depicted in FIG. 7, the mask exchange chamber 300 includes a magnetic levitation system 350 that is assembled for supporting the mask carrier 15. Furthermore, the mask exchange chamber 300 includes a device 100 for exchanging a mask device 10 from a mask carrier 15 according to any embodiment described herein. Therefore, the apparatus 100 for exchanging the mask device may be disposed in the mask exchange chamber 300.

根據可與此處所述任何其他實施例結合之數個實施例,遮罩交換腔室300可包括可關閉開孔310,可關閉開孔310提供於遮罩交換腔室300之側壁305中。因此,遮罩裝置10可移動通過可關閉開孔310,舉例為從一遮罩匣或另一遮罩儲存裝置移動,或移動到一遮罩匣或另一遮罩儲存裝置。遮罩匣或此另一遮罩儲存裝置係裝配以儲存數個遮罩裝置。舉例來說,遮罩匣可配置於裝載腔室中。根據可與此處所述任何其他實施例結合之數個實施例,用以交換遮罩裝置10之設備100可裝配,以通過開孔而可移動至遮罩匣中。According to several embodiments that can be combined with any of the other embodiments described herein, the mask exchange chamber 300 may include a closable opening 310 provided in a side wall 305 of the mask exchange chamber 300. Therefore, the masking device 10 can be moved through the closable opening 310, for example, from a mask box or another mask storage device, or to a mask box or another mask storage device. The mask box or this other mask storage device is assembled to store several mask devices. For example, the mask cassette may be configured in a loading chamber. According to several embodiments that can be combined with any of the other embodiments described herein, the device 100 for exchanging the masking device 10 can be assembled to be moved into a masking box through an opening.

第8圖繪示根據此處所述實施例之用以沈積材料於基板上之真空系統700的示意圖。如第8圖中所範例性繪示,真空系統700包括:根據此處所述數個實施例之遮罩交換腔室300;至少一沈積腔室710;及遮罩傳送系統720,裝配以用於在非水平定向中之遮罩交換裝置300及此至少一沈積腔室710之間傳送將使用遮罩裝置及已使用遮罩裝置。舉例來說,遮罩傳送系統720可裝配成磁性懸浮系統。FIG. 8 is a schematic diagram of a vacuum system 700 for depositing material on a substrate according to the embodiments described herein. As exemplarily shown in FIG. 8, the vacuum system 700 includes: a mask exchange chamber 300 according to several embodiments described herein; at least one deposition chamber 710; and a mask transfer system 720, which is assembled for use. The transfer between the mask exchange device 300 and the at least one deposition chamber 710 in a non-horizontal orientation will use the mask device and the used mask device. For example, the mask delivery system 720 may be assembled as a magnetic levitation system.

範例性參照第8圖,根據可與此處所述其他實施例結合之數個實施例,遮罩交換腔室300可包括第一設備100A及第二設備100B。第一設備100A用以交換遮罩裝置,第二設備100B用以交換遮罩裝置。舉例來說,用以交換遮罩裝置之第一設備100A可配置於第一遮罩處理區域701中,及用以交換遮罩裝置之第二設備100B可配置於第二遮罩處理區域702中。Referring to FIG. 8 as an example, according to several embodiments that can be combined with other embodiments described herein, the mask exchange chamber 300 may include a first device 100A and a second device 100B. The first device 100A is used to exchange the mask device, and the second device 100B is used to exchange the mask device. For example, a first device 100A for exchanging masking devices may be disposed in the first mask processing area 701, and a second device 100B for exchanging masking devices may be disposed in the second mask processing area 702. .

第二遮罩處理區域702及第一遮罩處理區域701可對應於遮罩交換腔室300之不同區段。遮罩交換腔室300之不同區段可彼此相鄰或可彼此分離。舉例來說,第一遮罩處理區域701及第二遮罩處理區域702可為遮罩交換腔室300之相反部份。於一些實施例中,第一遮罩處理區域701及第二遮罩處理區域702係位於傳送路徑之相反側上。傳送路徑係裝配以用於傳送遮罩載體15。舉例來說,第一遮罩處理區域701與第一設備100A可裝配以用於處理將使用遮罩裝置711,及第二遮罩處理區域702及第二設備100B可裝配以用於處理已使用遮罩裝置712。The second mask processing area 702 and the first mask processing area 701 may correspond to different sections of the mask exchange chamber 300. Different sections of the mask exchange chamber 300 may be adjacent to each other or may be separated from each other. For example, the first mask processing region 701 and the second mask processing region 702 may be opposite parts of the mask exchange chamber 300. In some embodiments, the first mask processing area 701 and the second mask processing area 702 are located on opposite sides of the transport path. The transfer path is fitted for transferring the mask carrier 15. For example, the first mask processing area 701 and the first device 100A can be assembled for processing a mask device 711 to be used, and the second mask processing area 702 and the second device 100B can be assembled for processing a used device. Shielding device 712.

因此,將使用遮罩裝置711可與已使用遮罩裝置712分開處理,而具有可減少或避免污染已清洗之遮罩裝置的優點。處理舉例為貼附、拆開、裝載、卸載、儲存、移動、旋轉及/或平移。Therefore, the used mask device 711 can be processed separately from the used mask device 712, and has the advantage of reducing or avoiding contamination of the washed mask device. Examples of processing are attaching, detaching, loading, unloading, storing, moving, rotating and / or translating.

於可與此處所述其他實施例結合之一些實施例中,真空系統之主傳送路徑Z包括四或多個傳送軌道,包括第一遮罩軌道731、第二遮罩軌道732、第一基板軌道733及第二基板軌道734。其他軌道可設置。軌道可在真空系統之主傳送方向中平行於彼此延伸。第一基板軌道733及第二基板軌道734可作為外部軌道,及第一遮罩軌道731及第二遮罩軌道732可作為配置於基板軌道之間的內部軌道。其他配置係可行的。In some embodiments that can be combined with other embodiments described herein, the main transfer path Z of the vacuum system includes four or more transfer tracks, including a first mask track 731, a second mask track 732, and a first substrate. The track 733 and the second substrate track 734. Other tracks can be set. The tracks can extend parallel to each other in the main conveying direction of the vacuum system. The first substrate track 733 and the second substrate track 734 may serve as external tracks, and the first mask track 731 and the second mask track 732 may serve as internal tracks disposed between the substrate tracks. Other configurations are possible.

舉例來說,第一遮罩軌道731及第二遮罩軌道732可為磁性遮罩懸浮系統之部份,裝配以用於懸浮及/或傳送遮罩載體通過真空系統700。第一基板軌道733及第二基板軌道734可為磁性基板懸浮系統之部份,裝配以用於懸浮及/或傳送基板載體通過真空系統700。For example, the first mask track 731 and the second mask track 732 may be part of a magnetic mask levitation system, assembled for levitation and / or transporting a mask carrier through the vacuum system 700. The first substrate track 733 and the second substrate track 734 may be part of a magnetic substrate suspension system, which is assembled for suspending and / or transferring the substrate carrier through the vacuum system 700.

根據可與此處所述任何其他實施例結合之數個實施例,遮罩裝載通道可提供而延伸至第一遮罩處理區域701,及可裝配以用於舉例為經由第一裝載腔室703裝載將使用遮罩裝置711至真空系統700中。再者,遮罩卸載通道可提供而從第二遮罩處理區域702延伸,及可裝配以用於從真空系統700舉例為經由第二裝載腔室704卸載已使用遮罩裝置712。According to several embodiments that may be combined with any of the other embodiments described herein, a mask loading channel may be provided to extend to the first mask processing area 701 and may be assembled for example via the first loading chamber 703 Loading will use the masking device 711 into the vacuum system 700. Furthermore, a mask unloading channel may be provided to extend from the second mask processing area 702, and may be assembled for unloading a used masking device 712 from the vacuum system 700, for example via the second loading chamber 704.

第一裝載腔室703及第二裝載腔室704可設置而相鄰於遮罩交換腔室300,而位於遮罩交換腔室300之兩個相反側上。舉例來說,如第8圖中所示,第一裝載腔室703可配置於遮罩交換腔室300之第一側上,及第二裝載腔室704可配置於遮罩交換腔室300之第二側上。第二側相反於第一側。特別是,第一裝載腔室703及第二裝載腔室704可配置於遮罩軌道之相反側上。遮罩軌道係裝配以用於導引遮罩載體。The first loading chamber 703 and the second loading chamber 704 may be disposed adjacent to the mask exchange chamber 300 and located on two opposite sides of the mask exchange chamber 300. For example, as shown in FIG. 8, the first loading chamber 703 may be disposed on the first side of the mask exchange chamber 300, and the second loading chamber 704 may be disposed on the mask exchange chamber 300. On the second side. The second side is opposite to the first side. In particular, the first loading chamber 703 and the second loading chamber 704 may be disposed on opposite sides of the shield track. The mask track is assembled for guiding the mask carrier.

一般來說,真空系統700包括一或多個真空腔室。舉例來說,遮罩交換腔室300及/或此至少一沈積腔室710可裝配成真空腔室。此處所使用之「真空腔室」(亦意指為「真空模組」)可理解為真空系統中之特定區域,可設置於次大氣壓力下。一般來說,兩個相鄰真空腔室或真空模組之間的通道可關閉來進行一個真空腔室之服務或維護,而無須淹佈(flooding)相鄰之真空腔室,但此並非必要。因此,次大氣壓力可於真空系統700中提供。舉例來說,真空系統700之內部體積可抽氣至100 mbar或更少之壓力,特別是10 mbar或更少之壓力,更特別是1 mbar或更少之壓力,或甚至較小之壓力。特別是,在沈積期間,真空系統之真空腔室可提供於10 mbar或更少之低絕對壓力。Generally, the vacuum system 700 includes one or more vacuum chambers. For example, the mask exchange chamber 300 and / or the at least one deposition chamber 710 may be assembled into a vacuum chamber. The "vacuum chamber" (also referred to as "vacuum module") as used herein can be understood as a specific area in a vacuum system and can be set under sub-atmospheric pressure. Generally speaking, the passage between two adjacent vacuum chambers or vacuum modules can be closed to perform service or maintenance of one vacuum chamber without flooding adjacent vacuum chambers, but this is not necessary . Therefore, sub-atmospheric pressure may be provided in the vacuum system 700. For example, the internal volume of the vacuum system 700 can be evacuated to a pressure of 100 mbar or less, especially a pressure of 10 mbar or less, more particularly a pressure of 1 mbar or less, or even a smaller pressure. In particular, during settling, the vacuum chamber of the vacuum system can provide a low absolute pressure of 10 mbar or less.

如第8圖中範例性所示,用以遮蔽於基板上之材料沈積的沈積源715可設置於此至少一沈積腔室710中。舉例來說,沈積源715可為蒸發源。然而,本揭露係不限於具有蒸發源之真空系統。舉例來說,化學氣相沈積(chemical vapor deposition,CVD)系統、舉例為濺射系統之物理氣相沈積(physical vapor deposition,PVD)系統、及/或蒸發系統係發展,以在沈積腔室中塗佈舉例為用以顯示器應用之基板,基板舉例為薄玻璃基板。在典型真空系統中,基板可由基板載體支承,及基板載體可藉由基板傳送系統傳送通過真空腔室。基板載體可藉由基板傳送系統移動,使得基板之主表面的至少一部份係朝向塗佈裝置暴露。塗佈裝置舉例為濺射裝置或蒸發源。當基板可位於蒸發源之前方,且蒸發源可以預定速度移動經過基板時,基板之主表面可塗佈有薄塗佈層。或者,基板可以預定速度傳送經過塗佈裝置。As exemplarily shown in FIG. 8, a deposition source 715 for shielding material deposition on the substrate may be disposed in the at least one deposition chamber 710. For example, the deposition source 715 may be an evaporation source. However, the disclosure is not limited to a vacuum system having an evaporation source. For example, a chemical vapor deposition (CVD) system, a physical vapor deposition (PVD) system such as a sputtering system, and / or an evaporation system are being developed for use in a deposition chamber. An example of coating is a substrate for display applications, and an example of a substrate is a thin glass substrate. In a typical vacuum system, the substrate may be supported by a substrate carrier, and the substrate carrier may be transferred through the vacuum chamber by a substrate transfer system. The substrate carrier can be moved by the substrate transfer system so that at least a part of the main surface of the substrate is exposed toward the coating device. The coating device is exemplified by a sputtering device or an evaporation source. When the substrate can be positioned in front of the evaporation source and the evaporation source can move past the substrate at a predetermined speed, the main surface of the substrate can be coated with a thin coating layer. Alternatively, the substrate may be conveyed through the coating device at a predetermined speed.

基板可為非撓性基板,舉例為晶圓、例如是藍寶石或類似者之透明水晶片、玻璃基板、或陶瓷板材。然而,本揭露係不以此為限,且名稱基板可亦包含撓性基板,例如是網格(web)或箔,舉例為金屬箔或塑膠箔。The substrate may be a non-flexible substrate, such as a wafer, for example, a transparent crystal wafer of sapphire or the like, a glass substrate, or a ceramic plate. However, the disclosure is not limited thereto, and the name substrate may also include a flexible substrate, such as a web or a foil, such as a metal foil or a plastic foil.

基板可於一些實施例中為大面積基板。大面積基板可具有0.5 m2 或更多之表面積。特別是,大面積基板可使用於顯示器製造及為玻璃或塑膠基板。舉例來說,此處所述之基板應包括一般用於液晶顯示器(Liquid Crystal Display,LCD)、電漿顯示器(Plasma Display Panel,PDP)、及類似者之基板。舉例來說,大面積基板可具有主表面,主表面具有1 m2 或更大之面積。於一些實施例中,大面積基板可為第4.5代、第5代、或更高代。第4.5代對應於約0.67 m2 之基板(0.73 m x 0.92 m)、第5代對應於約1.4 m2 之基板(1.1 m x 1.3 m)。大面積基板可更為第7.5代、第8.5代、或甚至是第10代。第7.5代對應於約4.29 m2 之基板(1.95 m x 2.2 m)、第8.5代對應於約5.7 m2 之基板(2.2 m x 2.5 m)、第10代對應於約8.7 m2 之基板(2.85 m × 3.05 m)。甚至例如是第11代及第12代之更高代及對應之基板可以類似之方式應用。於一些應用中,具有低至數cm2 之表面積及/或數種個別形狀的較小尺寸基板之陣列可位於單一基板支承件。於一些實施例中,遮罩裝置可大於基板,以在沈積期間完整覆蓋基板。The substrate may be a large-area substrate in some embodiments. The large-area substrate may have a surface area of 0.5 m 2 or more. In particular, large-area substrates can be used in display manufacturing and as glass or plastic substrates. For example, the substrate described herein should include substrates generally used in liquid crystal displays (LCDs), plasma display panels (PDPs), and the like. For example, a large-area substrate may have a main surface having an area of 1 m 2 or more. In some embodiments, the large-area substrate may be a 4.5th generation, a 5th generation, or a higher generation. The 4.5th generation corresponds to a substrate (0.73 mx 0.92 m) of approximately 0.67 m 2 , and the 5th generation corresponds to a substrate (1.1 mx 1.3 m) of approximately 1.4 m 2 . Large-area substrates can be more than 7.5th generation, 8.5th generation, or even 10th generation. The 7.5th generation corresponds to a substrate of approximately 4.29 m 2 (1.95 mx 2.2 m), the 8.5th generation corresponds to a substrate of approximately 5.7 m 2 (2.2 mx 2.5 m), and the 10th generation corresponds to a substrate of approximately 8.7 m 2 (2.85 m × 3.05 m). Even higher generations and corresponding substrates such as the 11th and 12th generation can be applied in a similar manner. In some applications, an array of smaller sized substrates with surface areas as low as several cm 2 and / or several individual shapes may be located on a single substrate support. In some embodiments, the masking device may be larger than the substrate to completely cover the substrate during deposition.

於一些應用中,在垂直於基板之主表面的一方向中之基板的厚度可為1 mm或更少,舉例為從0.1 mm至1 mm,特別是從0.3 mm至0.6 mm,舉例為0.5 mm。甚至更薄之基板係可行的。In some applications, the thickness of the substrate in a direction perpendicular to the main surface of the substrate may be 1 mm or less, for example from 0.1 mm to 1 mm, especially from 0.3 mm to 0.6 mm, for example 0.5 mm . Even thinner substrates are possible.

於可與此處所述其他實施例結合之一些實施例中,真空系統700可更包括依循路徑傳送腔室(routing chamber)708。依循路徑傳送腔室708配置於遮罩交換腔室300、此至少一沈積腔室710及第二沈積腔室713之間。依循路徑傳送腔室708可包括依循路徑傳送裝置709,依循路徑傳送裝置709舉例為旋轉裝置。依循路徑傳送裝置709係裝配,以用於在遮罩交換腔室300及此至少一沈積腔室710之間與在遮罩交換腔室300及第二沈積腔室713之間依循路徑傳送將使用遮罩裝置711及已使用遮罩裝置712。舉例來說,此至少一沈積腔室710之定向及第二沈積腔室713之定向可相對於真空系統之主傳送路徑Z垂直,使得遮罩載體及基板載體係在主傳送路徑Z及沈積腔室之間的交叉處繞著本質上垂直旋轉軸旋轉。遮罩載體及/或基板載體可於依循路徑傳送腔室708中旋轉。In some embodiments that can be combined with other embodiments described herein, the vacuum system 700 may further include a routing chamber 708. The following path transfer chamber 708 is disposed between the mask exchange chamber 300, the at least one deposition chamber 710, and the second deposition chamber 713. The follow-path transfer chamber 708 may include a follow-path transfer device 709, and the follow-path transfer device 709 is, for example, a rotating device. The following path conveying device 709 is assembled to be used for following path transmission between the mask exchange chamber 300 and the at least one deposition chamber 710 and between the mask exchange chamber 300 and the second deposition chamber 713. The masking device 711 and the used masking device 712. For example, the orientation of the at least one deposition chamber 710 and the orientation of the second deposition chamber 713 may be perpendicular to the main transfer path Z of the vacuum system, so that the mask carrier and the substrate carrier are in the main transfer path Z and the deposition chamber. The intersections between the chambers rotate around an essentially vertical axis of rotation. The mask carrier and / or the substrate carrier can be rotated in the following path transfer chamber 708.

於一些實施例中,其他沈積腔室、過渡腔室及/或依循路徑傳送腔室可設置於遮罩交換腔室300之另一側上,舉例為在第8圖中之上側上。In some embodiments, other deposition chambers, transition chambers, and / or follow-path transfer chambers may be disposed on the other side of the mask exchange chamber 300, for example, on the upper side in FIG. 8.

根據此處所述之數個實施例,較輕量之遮罩裝置可從周圍環境裝載至真空系統中,及遮罩裝置可於真空系統中快速地貼附於遮罩載體。因此,遮罩處理可加速,及系統之閒置時間可減少。According to several embodiments described herein, a lighter weight mask device can be loaded into the vacuum system from the surrounding environment, and the mask device can be quickly attached to the mask carrier in the vacuum system. As a result, mask processing can be accelerated and the idle time of the system can be reduced.

特別是,遮罩裝置之遮罩框架可直接在真空系統中從遮罩載體分解。因此,只有遮罩裝置係將在真空系統之外側傳送,舉例為藉由架空傳送載具傳送。遮罩載體與遮罩物部份將維持在真空下,而可比遮罩裝置維持在真空系統中更加長的時間。必須在真空系統之外側處理的每個遮罩之最大重量係大幅地減少,舉例為從約300 kg或更多之遮罩載體大幅地減少至遮罩裝置之150 kg或更少,而沒有遮罩載體。因此,一般之架空傳送載具可輕易地傳送7個或更多個遮罩裝置,而取代更小數量的遮罩載體。遮罩交換可加速及架空傳送系統之數量、遮罩載體之數量及/或遮罩儲藏器之數量可減少。因此,成本可亦減少及空間需求可改善。遮罩載體舉例為與固定於其上的遮罩物可一起維持在真空下一段較長的時間,及維護工作係因此大大地減少。當遮罩物係將清洗或交換時,遮罩載體可送出到大氣。因此,遮罩載體的總數量可減少。In particular, the mask frame of the mask device can be disassembled from the mask carrier directly in the vacuum system. Therefore, only the masking device will be transported outside the vacuum system, for example by an overhead transport vehicle. The mask carrier and the mask part will be maintained under vacuum, and can be maintained for a longer time than the mask device is maintained in the vacuum system. The maximum weight of each mask that must be handled on the outside of the vacuum system is greatly reduced, for example, from a mask carrier of about 300 kg or more to 150 kg or less of a mask device without a mask Hood carrier. Therefore, a general overhead carrier can easily transport 7 or more masking devices instead of a smaller number of masking carriers. The number of mask exchanges can speed up and overhead conveyor systems, the number of mask carriers, and / or the number of mask receptacles can be reduced. As a result, costs can also be reduced and space requirements can be improved. The mask carrier is exemplified as being able to be maintained under vacuum for a long time together with the mask fixed thereto, and the maintenance work is therefore greatly reduced. When the masking system is to be cleaned or exchanged, the mask carrier can be sent to the atmosphere. Therefore, the total number of mask carriers can be reduced.

根據此處所述之數個實施例,遮罩裝置及/或基板係在真空系統中於本質上垂直定向中傳送。相較於其中遮罩裝置及/或基板於本質上水平定向中傳送及處理之概念,垂直傳送的概念係允許處理較大的基板尺寸。在本質上垂直定向中傳送遮罩裝置及/或基板可藉由固定遮罩裝置及/或基板於個別之載體而有所幫助。根據此處所述之數個實施例,貼附遮罩裝置於遮罩載體或從遮罩載體拆開遮罩裝置係不在大氣條件下執行,但在真空系統的內側執行。因此,必須在真空系統之外側處理的重量可減少。According to several embodiments described herein, the masking device and / or the substrate are conveyed in a vacuum system in a substantially vertical orientation. Compared to the concept in which the masking device and / or substrate is transferred and processed in an essentially horizontal orientation, the concept of vertical transfer allows processing of larger substrate sizes. Transporting the masking device and / or substrate in an essentially vertical orientation can be assisted by fixing the masking device and / or substrate on an individual carrier. According to several embodiments described herein, attaching the mask device to the mask carrier or removing the mask device from the mask carrier is not performed under atmospheric conditions, but is performed inside the vacuum system. Therefore, the weight that must be handled outside the vacuum system can be reduced.

因此,有鑑於上述,將理解的是,此處所述的實施例提供快速及有效率的遮罩交換。特別是,此處所述之數個實施例具有簡化及加速真空系統中之遮罩交換的優點,遮罩係裝配以用於遮蔽基板上之沈積。Therefore, in light of the above, it will be understood that the embodiments described herein provide fast and efficient mask exchange. In particular, the embodiments described herein have the advantage of simplifying and speeding up mask exchange in a vacuum system, and the mask is assembled for shielding deposition on a substrate.

綜上所述,雖然本發明已以實施例揭露如上,然其並非用以限定本發明。本發明所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾。因此,本發明之保護範圍當視後附之申請專利範圍所界定者為準。In summary, although the present invention has been disclosed as above with the embodiments, it is not intended to limit the present invention. Those with ordinary knowledge in the technical field to which the present invention pertains can make various changes and modifications without departing from the spirit and scope of the present invention. Therefore, the protection scope of the present invention shall be determined by the scope of the attached patent application.

特別是,此書面說明係使用包括最佳模式之數個例子來揭露本揭露,且亦讓此技術領域中任何具有通常知識者能夠實施所述之標的,包括製造及使用任何裝置或系統及執行任何併入之方法。當數種特定之實施例係已經於前述中揭露時,上述實施例之非互斥之特徵可彼此結合。可專利之範圍係由申請專利範圍定義,且如果申請專利範圍具有非相異於申請專利範圍之字面語言之結構元件時,或如果申請專利範圍包括等效結構元件,且等效結構元件與申請專利範圍之字面語言具有非實質差異時,其他例子係意欲包含於申請專利範圍之範疇中。In particular, this written description uses several examples including the best mode to disclose this disclosure, and also enables anyone with ordinary knowledge in this technical field to implement the stated subject matter, including the manufacture and use of any device or system and implementation Any method of incorporation. When several specific embodiments have been disclosed in the foregoing, the non-exclusive features of the above embodiments may be combined with each other. The patentable scope is defined by the scope of the patent application, and if the scope of the patent application has structural elements that are not different from the literal language of the scope of the patent application, or if the scope of the patent application includes equivalent structural elements, and the equivalent structural elements and the application When the literal language of the patent scope has insubstantial differences, other examples are intended to be included in the scope of the patent scope.

10‧‧‧遮罩裝置10‧‧‧Mask device

10A‧‧‧主表面 10A‧‧‧Main surface

13‧‧‧容置部 13‧‧‧accommodation department

15‧‧‧遮罩載體 15‧‧‧Mask carrier

15A、20A‧‧‧第一端 15A, 20A‧‧‧First end

15B、20B‧‧‧第二端 15B, 20B‧‧‧Second end

16‧‧‧對應對準元件 16‧‧‧ Corresponding alignment element

16A‧‧‧第一對應對準元件 16A‧‧‧First corresponding alignment element

16B‧‧‧第二對應對準元件 16B‧‧‧Second corresponding alignment element

17‧‧‧載體對準銷 17‧‧‧ carrier alignment pin

18‧‧‧支撐元件 18‧‧‧ support element

20‧‧‧支承配置 20‧‧‧Support configuration

22‧‧‧對準元件 22‧‧‧ Alignment Element

22A‧‧‧第一對準元件 22A‧‧‧First Alignment Element

22B‧‧‧第二對準元件 22B‧‧‧Second alignment element

23、23’‧‧‧電磁元件 23, 23’‧‧‧ electromagnetic components

24‧‧‧機構 24‧‧‧ Agency

25‧‧‧旋轉軸 25‧‧‧rotation axis

26‧‧‧對準組件 26‧‧‧Alignment kit

27‧‧‧對準銷 27‧‧‧alignment pin

35、35’‧‧‧電磁配置 35, 35’‧‧‧ electromagnetic configuration

40‧‧‧支撐配置 40‧‧‧Support configuration

100‧‧‧設備 100‧‧‧ Equipment

100A‧‧‧第一設備 100A‧‧‧First Equipment

100B‧‧‧第二設備 100B‧‧‧Second Equipment

300‧‧‧遮罩交換腔室 300‧‧‧Mask exchange chamber

305‧‧‧側壁 305‧‧‧ sidewall

310‧‧‧可關閉開孔 310‧‧‧ can close the opening

350‧‧‧磁性懸浮系統 350‧‧‧ Magnetic levitation system

400、500、600‧‧‧方法 400, 500, 600‧‧‧ methods

410-440、510-530‧‧‧方塊 410-440, 510-530‧‧‧ blocks

700‧‧‧真空系統 700‧‧‧vacuum system

701‧‧‧第一遮罩處理區域 701‧‧‧First mask processing area

702‧‧‧第二遮罩處理區域 702‧‧‧Second mask processing area

703‧‧‧第一裝載腔室 703‧‧‧first loading chamber

704‧‧‧第二裝載腔室 704‧‧‧Second loading chamber

708‧‧‧依循路徑傳送腔室 708‧‧‧ Follow the path to transfer the chamber

709‧‧‧依循路徑傳送裝置 709‧‧‧Follow the path transmission device

710‧‧‧沈積腔室 710‧‧‧Deposition chamber

711‧‧‧將使用遮罩裝置 711‧‧‧ will use masking device

712‧‧‧已使用遮罩裝置 712‧‧‧ Masking device used

713‧‧‧第二沈積腔室 713‧‧‧Second deposition chamber

715‧‧‧沈積源 715‧‧‧ sedimentary source

720‧‧‧遮罩傳送系統 720‧‧‧Mask transfer system

731‧‧‧第一遮罩軌道 731‧‧‧First mask track

732‧‧‧第二遮罩軌道 732‧‧‧Second Mask Track

733‧‧‧第一基板軌道 733‧‧‧First substrate track

734‧‧‧第二基板軌道 734‧‧‧Second substrate track

G‧‧‧重力向量 G‧‧‧ gravity vector

H‧‧‧實質上水平狀態 H‧‧‧ essentially horizontal

V‧‧‧非水平定向 V‧‧‧ non-horizontal orientation

X1‧‧‧裝載 X1‧‧‧ Loading

X2、Y3、Z2‧‧‧移動 X2, Y3, Z2‧‧‧ Mobile

X3、Y1‧‧‧對準 X3, Y1‧‧‧ alignment

X4、Y2‧‧‧傳送 X4, Y2 ‧‧‧ teleport

Y23‧‧‧縮回 Y23‧‧‧ retracted

Z‧‧‧主傳送路徑 Z‧‧‧ main transmission path

Z1‧‧‧升舉 Z1‧‧‧Lift

Z3‧‧‧下降 Z3‧‧‧Descent

α‧‧‧角度 α‧‧‧ angle

為了使本揭露的上述特徵可詳細地瞭解,簡要摘錄於上之本揭露之更特有的說明可參照數個實施例。所附之圖式係有關於本揭露之數個實施例且說明於下文中。典型實施例係繪示於圖式中且於下方說明中詳細闡述。In order to make the above features of the present disclosure understandable in detail, a more specific description, which is briefly extracted from the above disclosure, may refer to several embodiments. The attached drawings relate to several embodiments of the present disclosure and are described below. Exemplary embodiments are shown in the drawings and explained in detail in the description below.

第1圖繪示根據此處所述實施例之處理遮罩裝置之方法的接續階段(a)、(b)、(c)、(d)的示意圖; FIG. 1 is a schematic diagram showing successive stages (a), (b), (c), and (d) of a method for processing a mask device according to the embodiment described herein;

第2圖繪示根據此處所述其他實施例之處理遮罩裝置之方法的接續階段(a)、(b)、(c)、(d)的示意圖; FIG. 2 is a schematic diagram showing successive stages (a), (b), (c), and (d) of a method for processing a mask device according to other embodiments described herein;

第3(a)及3(b)圖繪示根據此處所述實施例之處理遮罩裝置之方法的兩個不同階段之示意圖; Figures 3 (a) and 3 (b) are schematic diagrams showing two different stages of a method of processing a masking device according to the embodiments described herein;

第3(c)圖繪示根據此處所述實施例之遮罩裝置及遮罩載體的示意圖,用以說明從遮罩載體卸載遮罩裝置之階段; Figure 3 (c) shows a schematic diagram of a masking device and a masking carrier according to the embodiments described herein, used to explain the stage of unloading the masking device from the masking carrier;

第4及5圖繪示根據此處所述實施例之處理遮罩裝置之方法的流程圖; 4 and 5 show flowcharts of a method of processing a mask device according to the embodiments described herein;

第6圖繪示根據此處所述實施例之交換遮罩裝置之方法的流程圖; FIG. 6 is a flowchart of a method for exchanging a mask device according to the embodiment described herein; FIG.

第7圖繪示根據此處所述實施例之遮罩交換腔室的示意圖;以及 FIG. 7 is a schematic diagram of a mask exchange chamber according to the embodiment described herein; and

第8圖繪示根據此處所述實施例之真空系統的示意圖。 FIG. 8 is a schematic diagram of a vacuum system according to the embodiment described herein.

Claims (20)

一種處理一遮罩裝置(10)之方法,該方法包括: 裝載(X1)該遮罩裝置(10)於一支承配置(20)上,該支承配置用以支承該遮罩裝置; 從一第一狀態移動(X2)該支承配置(20)至一第二狀態中,該第二狀態係為一非水平狀態; 對準(X3)該支承配置(20)於一遮罩載體(15);以及 從該支承配置(20)傳送(X4)該遮罩裝置(10)至該遮罩載體(15)。A method for processing a masking device (10), the method includes: Loading (X1) the masking device (10) on a supporting arrangement (20) for supporting the masking device; Moving (X2) the support arrangement (20) from a first state to a second state, the second state is a non-horizontal state; Align (X3) the support arrangement (20) with a mask carrier (15); and The masking device (10) is transferred (X4) from the support arrangement (20) to the mask carrier (15). 一種處理一遮罩裝置(10)之方法,該方法包括: 對準(Y1)一支承配置(20)於一遮罩載體(15),該遮罩載體支承該遮罩裝置(10),該支承配置係在一第二狀態中; 從該遮罩載體(15)傳送(Y2)該遮罩裝置(10)至該支承配置(20);以及 從該第二狀態移動(Y3)該支承配置(20)至一第一狀態中,該第二狀態係為一非水平狀態。A method for processing a masking device (10), the method includes: Align (Y1) a support arrangement (20) on a mask carrier (15), the mask carrier supporting the mask device (10), the support arrangement being in a second state; Transferring (Y2) the masking device (10) from the mask carrier (15) to the support arrangement (20); and Moving (Y3) the support arrangement (20) from the second state to a first state, the second state is a non-horizontal state. 如申請專利範圍第1或2項所述之方法,其中對準(X3, Y1)該支承配置(20)於該遮罩載體(15)包括相對於該支承配置(20)移動(Z2)一或多個對準元件(22)。The method according to item 1 or 2 of the scope of patent application, wherein aligning (X3, Y1) the support configuration (20) on the mask carrier (15) includes moving (Z2) a relative to the support configuration (20). Or multiple alignment elements (22). 如申請專利範圍第1或2項所述之方法,其中對準(X3, Y1)該支承配置(20)於該遮罩載體(15)包括卡合一或多個對準元件(22)於該遮罩載體(15)。The method according to item 1 or 2 of the scope of patent application, wherein the alignment (X3, Y1) of the support configuration (20) on the mask carrier (15) includes engaging one or more alignment elements (22) on The mask carrier (15). 如申請專利範圍第1或2項所述之方法,其中對準(X3, Y1)該支承配置(20)於該遮罩載體包括藉由使用一電磁配置(35)升舉(Z1)該遮罩載體,該電磁配置用以產生作用於該遮罩載體(15)上之一磁力。The method as described in item 1 or 2 of the patent application scope, wherein aligning (X3, Y1) the support arrangement (20) on the mask carrier includes lifting (Z1) the mask by using an electromagnetic arrangement (35) The cover carrier is configured to generate a magnetic force acting on the cover carrier (15). 如申請專利範圍第1或2項所述之方法,其中傳送(X4, Y2)該遮罩裝置(10)包括使用一或多個電磁元件(23)來用以提供作用於該遮罩裝置(10)上之一磁力。The method as described in item 1 or 2 of the patent application scope, wherein transmitting (X4, Y2) the masking device (10) includes using one or more electromagnetic elements (23) to provide an effect on the masking device ( 10) The previous magnetic force. 如申請專利範圍第1或2項所述之方法,其中該第一狀態為該遮罩裝置之一主表面及一水平面之間的一角度係30°或更少的一狀態。The method according to item 1 or 2 of the scope of patent application, wherein the first state is a state where an angle between a major surface of the masking device and a horizontal plane is 30 ° or less. 如申請專利範圍第1或2項所述之方法,其中該第一狀態係為一水平狀態。The method according to item 1 or 2 of the scope of patent application, wherein the first state is a horizontal state. 如申請專利範圍第1或2項所述之方法,其中該第二狀態為該遮罩裝置(10)之一主表面及一重力向量之間的一角度係+10°及-10°之間的一狀態。The method according to item 1 or 2 of the scope of patent application, wherein the second state is an angle between + 10 ° and -10 ° between a major surface of the masking device (10) and a gravity vector A state. 如申請專利範圍第1或2項所述之方法,其中該第二狀態係為一垂直狀態。The method according to item 1 or 2 of the patent application scope, wherein the second state is a vertical state. 如申請專利範圍第1或2項所述之方法,其中移動(X2, Y2)該支承配置(20)包括繞著一旋轉軸(25)旋轉該支承配置。The method according to item 1 or 2 of the scope of patent application, wherein moving (X2, Y2) the support arrangement (20) includes rotating the support arrangement about a rotation axis (25). 如申請專利範圍第1或2項所述之方法,其中移動(X2, Y2)該支承配置(20)包括繞著在一水平面中的一旋轉軸(25)旋轉該支承配置。The method according to item 1 or 2 of the scope of patent application, wherein moving (X2, Y2) the support arrangement (20) includes rotating the support arrangement about a rotation axis (25) in a horizontal plane. 一種從一遮罩載體(15)交換一遮罩裝置(10)之方法,該方法包括: 執行如申請專利範圍第2至12項之任一者所述之處理該遮罩裝置之該方法,該遮罩裝置係為一第一遮罩裝置;以及 執行如申請專利範圍第1及3至12項之任一者所述之處理該遮罩裝置之該方法,該遮罩裝置係為一第二遮罩裝置。A method for exchanging a mask device (10) from a mask carrier (15), the method includes: Performing the method for processing the masking device as described in any one of claims 2 to 12, the masking device being a first masking device; and The method for processing the masking device as described in any one of claims 1 and 3 to 12 is performed, and the masking device is a second masking device. 一種用以從一遮罩載體(15)交換一遮罩裝置(10)之設備(100),該設備包括: 一支承配置(20),用以支承該遮罩裝置(10); 一機構(24),用以於一第一狀態及一第二狀態之間移動該支承配置(20),該第二狀態係為一非水平狀態;以及 一對準組件(26),用以對準該支承配置(20)於該遮罩載體(15)。A device (100) for exchanging a masking device (10) from a mask carrier (15), the device comprising: A supporting arrangement (20) for supporting the masking device (10); A mechanism (24) for moving the support arrangement (20) between a first state and a second state, the second state being a non-horizontal state; and An alignment assembly (26) is used to align the supporting arrangement (20) on the mask carrier (15). 如申請專利範圍第14項所述之設備(100),其中該對準組件(26)包括一或多個對準元件(22),該一或多個對準元件係相對於該支承配置(20)為可移動的。The device (100) according to item 14 of the scope of patent application, wherein the alignment assembly (26) includes one or more alignment elements (22), the one or more alignment elements are arranged relative to the support ( 20) is removable. 如申請專利範圍第14或15項所述之設備(100),其中該支承配置(20)包括一或多個電磁元件(22),用以藉由磁力支承該遮罩裝置(10)。The device (100) according to item 14 or 15 of the scope of patent application, wherein the support arrangement (20) includes one or more electromagnetic elements (22) for supporting the masking device (10) by magnetic force. 如申請專利範圍第14或15項所述之設備(100),其中該第一狀態係該遮罩裝置之一主表面及一水平面之間的一角度為30°或更少之一狀態。The device (100) according to item 14 or 15 of the scope of patent application, wherein the first state is a state where an angle between a main surface of the mask device and a horizontal plane is 30 ° or less. 如申請專利範圍第14或15項所述之設備(100),其中該第二狀態係該遮罩裝置(10)之一主表面及一重力向量之間的一角度為+10°及-10°之間的一狀態。The device (100) according to item 14 or 15 of the scope of patent application, wherein the second state is an angle between a major surface of the masking device (10) and a gravity vector is + 10 ° and -10 A state between °. 一種遮罩交換腔室(300),包括: 一磁性懸浮系統(350),裝配以用於支承一遮罩載體(15);以及 如申請專利範圍第14-18項之任一者所述之該設備(100),該設備用以從該遮罩載體(15)交換該遮罩裝置(10)。A mask exchange chamber (300) includes: A magnetic levitation system (350) fitted to support a mask carrier (15); and The device (100) as described in any one of claims 14-18 of the scope of patent application, the device being used to exchange the masking device (10) from the mask carrier (15). 一種真空系統(700),用以沈積一材料於一基板上,該真空系統包括: 如申請專利範圍第19項所述之該遮罩交換腔室(300); 至少一沈積腔室(710);以及 一遮罩傳送系統(720),裝配以用於在一非水平定向中於該遮罩交換腔室(300)及該至少一沈積腔室(710)之間傳送複數個將使用遮罩裝置及複數個已使用遮罩裝置。A vacuum system (700) for depositing a material on a substrate. The vacuum system includes: The mask exchange chamber (300) as described in item 19 of the scope of patent application; At least one deposition chamber (710); and A mask transfer system (720) configured for transferring a plurality of masking devices and a mask exchange chamber (300) and the at least one deposition chamber (710) in a non-horizontal orientation. A plurality of used masking devices.
TW107130426A 2017-09-05 2018-08-30 Methods of handling a mask device, apparatus for exchanging a mask device, mask exchange chamber, and vacuum system TW201921760A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/US2017/050129 WO2019050507A1 (en) 2017-09-05 2017-09-05 Methods of handling a mask device, apparatus for exchanging a mask device, mask exchange chamber, and vacuum system
??PCT/US17/050129 2017-09-05

Publications (1)

Publication Number Publication Date
TW201921760A true TW201921760A (en) 2019-06-01

Family

ID=65634217

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107130426A TW201921760A (en) 2017-09-05 2018-08-30 Methods of handling a mask device, apparatus for exchanging a mask device, mask exchange chamber, and vacuum system

Country Status (5)

Country Link
JP (1) JP2019531399A (en)
KR (1) KR20190087996A (en)
CN (1) CN109792004A (en)
TW (1) TW201921760A (en)
WO (1) WO2019050507A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210081597A (en) * 2019-12-24 2021-07-02 캐논 톡키 가부시키가이샤 Film forming system, and manufacturing method of electronic device
CN111403322B (en) * 2020-04-24 2022-04-15 江苏芯梦半导体设备有限公司 Wafer stripping device
CN111424234A (en) * 2020-05-09 2020-07-17 江苏集萃有机光电技术研究所有限公司 Alignment module, alignment equipment, thin film deposition production line and control method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11288878A (en) * 1998-04-03 1999-10-19 Canon Inc Mask transfer device and method therefor, and exposure system provided therewith
EP1715078A1 (en) * 2005-04-20 2006-10-25 Applied Films GmbH & Co. KG Continuous OLED coating apparatus
NL1036785A1 (en) * 2008-04-18 2009-10-20 Asml Netherlands Bv Rapid exchange device for lithography reticles.
KR101027509B1 (en) * 2008-08-21 2011-04-06 주식회사 선익시스템 Apparatus for depositing film
JP2011074423A (en) * 2009-09-29 2011-04-14 Hitachi High-Technologies Corp Apparatus and method for manufacturing organic el device, and film deposition apparatus and film deposition method
EP2432008B1 (en) * 2010-09-17 2015-06-24 Applied Materials, Inc. Substrate processing system comprising a replaceable substrate masking on carrier and method for processing a substrate
KR101419355B1 (en) * 2012-11-07 2014-07-15 주식회사 에스에프에이 Loading and exchanging system of large mask frame and method thereof
KR101985922B1 (en) * 2014-02-04 2019-06-04 어플라이드 머티어리얼스, 인코포레이티드 System for depositing one or more layers on a substrate supported by a carrier and method using the same
CN106575720B (en) * 2014-05-09 2019-01-15 应用材料公司 Substrate carrier system with guard cover
WO2016112951A1 (en) * 2015-01-12 2016-07-21 Applied Materials, Inc. Holding arrangement for supporting a substrate carrier and a mask carrier during layer deposition in a processing chamber, apparatus for depositing a layer on a substrate, and method for aligning a substrate carrier supporting a substrate and a mask carrier

Also Published As

Publication number Publication date
CN109792004A (en) 2019-05-21
JP2019531399A (en) 2019-10-31
KR20190087996A (en) 2019-07-25
WO2019050507A1 (en) 2019-03-14

Similar Documents

Publication Publication Date Title
TWI678755B (en) Methods of handling a mask device in a vacuum system, a mask handling assembly for handling a mask device in a vacuum system, vacuum system for depositing a material on a substrate and a method of handling mask devices in a vacuum system
TW201840033A (en) Vacuum system and method for depositing a plurality of materials on a substrate
KR20180126438A (en) Carrier, vacuum system and method of operating vacuum system
JP2017506703A (en) System for depositing one or more layers on a substrate supported by a carrier and method of using the system
KR102107973B1 (en) Apparatus and system for processing a substrate in a vacuum chamber, and method of aligning a substrate carrier with respect to a mask carrier
TW201836054A (en) Apparatus for processing of a substrate, system for processing a substrate, and method for aligning a substrate carrier and a mask carrier in a chamber
TW201921760A (en) Methods of handling a mask device, apparatus for exchanging a mask device, mask exchange chamber, and vacuum system
KR102444830B1 (en) Methods for handling masks in a vacuum system and vacuum system
CN110972483A (en) Device with movable shade carrier
US20190393064A1 (en) Apparatus for routing a carrier in a processing system, a system for processing a substrate on the carrier, and method of routing a carrier in a vacuum chamber
KR102553751B1 (en) Mask handling module for in-line substrate processing system and method for mask transfer
US11972964B2 (en) System and method to evaporate an OLED layer stack in a vertical orientation
JP2017214654A (en) Vapor deposition source for organic material, device having vapor deposition source for organic material, system having evaporation deposition device including vapor deposition source for organic material, and method for operating vapor deposition source for organic material
JP2019518863A (en) Vacuum system and method for depositing one or more materials on a substrate
KR102167534B1 (en) Apparatus and vacuum system for carrier alignment in vacuum chamber, and method of alignment of carriers
WO2022090778A1 (en) Vacuum tilting table and vacuum tilting table module for a substrate processing system and method for substrate loading and unloading in a vacuum processing system
US20220293892A1 (en) System and method to evaporate an oled layer stack in a vertical orientation
WO2021013361A1 (en) Substrate processing system for processing of a plurality of substrates and method of processing a substrate in an in-line substrate processing system