TW201911974A - 用於電漿處理的分佈式電極陣列 - Google Patents

用於電漿處理的分佈式電極陣列 Download PDF

Info

Publication number
TW201911974A
TW201911974A TW107126405A TW107126405A TW201911974A TW 201911974 A TW201911974 A TW 201911974A TW 107126405 A TW107126405 A TW 107126405A TW 107126405 A TW107126405 A TW 107126405A TW 201911974 A TW201911974 A TW 201911974A
Authority
TW
Taiwan
Prior art keywords
electrode
electrodes
power
source assembly
plasma source
Prior art date
Application number
TW107126405A
Other languages
English (en)
Other versions
TWI788390B (zh
Inventor
肯尼士S 柯林斯
麥可R 萊斯
卡提克 拉馬斯瓦米
詹姆士D 卡度希
郭岳
歐嘉 瑞杰門
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201911974A publication Critical patent/TW201911974A/zh
Application granted granted Critical
Publication of TWI788390B publication Critical patent/TWI788390B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Abstract

本揭示的實施例提供可用於任何數量的基板處理技術的電漿源組件及處理腔室設計。電漿源可以包括與參考電極及氣體饋送結構整合的複數個分離電極,以在處理期間產生均勻、穩定、且可重複的電漿。複數個分離電極包括電極陣列,電極陣列可以相對於參考電極而單獨偏壓,以群組的方式偏壓,或全部一致偏壓。複數個分離電極可以包括複數個導電桿,複數個導電桿經定位以在處理腔室的處理區域內產生電漿。複數個分離電極係由施加於與電極連接的功率分佈元件上的駐波或行進波提供RF功率。

Description

用於電漿處理的分佈式電極陣列
本揭示之實施例一般係關於半導體處理裝備。更特定言之,本揭示之實施例係關於用於產生電漿以處理基板的電極組件。
積體電路已經發展成複雜的裝置,而可以在單一晶片上包括數百萬個部件(例如,電晶體、電容器、電阻器、及類似者)。晶片設計的發展需要更快的電路以及更大的電路密度,而對更大電路密度的需求則需要減少積體電路部件的尺寸。這種裝置的特徵的最小尺寸在該領域中通常稱為臨界尺寸,並且通常包括電路結構的特徵的最小寬度(例如,線段、線段之間的空間、柱、開口、及類似者)。
隨著這些臨界尺寸的縮小,整個基板的處理均勻性變得重要,以維持高產量。用於製造當今所需的增加的更小幾何形狀的積體電路的習知電漿處理與習知腔室設計無法滿足在電漿處理期間整個基板上所需的沉積或蝕刻速率均勻性的要求,這對於成功的半導體裝置製造是必要的。隨著裝置幾何尺寸的縮小及基板大小的增加,這種均勻性變得越來越難以實現。處理非均勻性(例如,腔室設計不對稱性、溫度分佈不均勻性、及氣體分佈控制)的各種來源變得越來越重要。而且,習知電容耦合電漿源(CCP)與電感耦合電漿源(ICP)存在一些問題(包括不希望的電漿及處理均勻性,以及差的處理可重複性)。舉例而言,習知電感耦合電漿源可以在腔室頂板上方具有二個同心佈置的線圈天線,而可以藉由調整傳遞到不同線圈天線的不同RF功率等級來最佳化蝕刻速率分佈的均勻性。但是,隨著工件直徑與腔室直徑的增加,我們發現此方式並不適用,因為較大的尺寸增加了取得必要的處理均勻性的難度。而且,在習知CCP與ICP電漿源中,不希望的材料濺射或蝕刻可能導致處理污染或顆粒形成,這可能是由於驅動或接地電極表面或ICP窗口表面處的過量離子能量。
在一些電漿處理腔室或系統中,工件在例如線性或旋轉工件支撐件上移動通過電漿處理區域。在這樣的腔室中,移動工件支撐電路可能不是低阻抗(相對於電漿阻抗)RF接地路徑,即使可以通過例如旋轉汞耦合器、電刷、或滑環而進行DC接地。缺乏足夠的RF接地路徑可能造成難以控制工件處的離子能量或者使得電漿處理(例如,沉積或蝕刻處理)的可重複性變差。
因此,需要一種能夠有效地產生具有所期望性質(例如,離解度、組成、自由基密度或通量、離子密度、電子密度、電子溫度、離子能量分佈等)的均勻電漿,可在操作窗口上諧調,具有穩定且可重複的性能,不產生粒子,並具有可接受的硬體壽命的電漿源及/或處理腔室。
提供一種用於處理腔室的電漿源組件,而在一個實施例中包括相對於參考電極定位的複數個分離電極,並在一些情況下在處理期間相對於氣體饋送結構產生均勻、穩定、且可重複的電漿。
本文提供的本揭示的實施例包括電漿源組件,包括具有跨越第一平面分佈的電極表面的參考電極,以及以跨越第一平面分佈的圖案來佈置的分離電極的陣列。分離電極的圖案可以形成為分佈在平行於第一平面的二個非平行方向上的陣列。分離電極可以平行對準到以一角度與第一平面定向的第一方向,並且具有在基本垂直於第一平面的方向上設置於距離表面的第一距離的端部。
本揭示的實施例可以進一步提供電漿源組件,電漿源組件包括連接到複數個分離電極的功率分佈元件,其中分離電極係以跨越表面分佈的圖案佈置,而分離電極中之每一者包括在基本垂直於表面的方向上設置成距離表面第一距離的端部。電漿源組件亦可包括RF訊號產生器與匹配網路,RF訊號產生器提供RF功率,而匹配網路係從RF訊號產生器接收RF功率,並將RF功率提供到功率分佈元件上的至少二個連接點。
本揭示的實施例可以進一步提供電漿源組件,電漿源組件包括連接到複數個分離電極的功率分佈元件,以將RF功率提供至複數個分離電極,其中分離電極係以跨越表面分佈的圖案佈置,而分離電極中之每一者包括在基本垂直於表面的方向上設置成距離表面第一距離的端部。電漿源組件亦可包括第一RF產生器、第二RF產生器、相位偵測器、及相位控制器,第一RF產生器具有用於接收第一RF控制訊號的輸入以及用於將RF功率提供至功率分佈元件上的第一連接點的輸出,第二RF產生器具有用於接收第二RF控制訊號的輸入以及用於將RF功率提供至功率分佈元件上的第二連接點的輸出,相位偵測器經配置以偵測來自第一RF產生器的輸出與來自第二RF產生器的輸出的相位差,相位控制器係從相位偵測器接收所偵測的相位差,並產生相位偏移控制訊號,以用於改變從第一RF產生器遞送的輸出的相位。
本揭示的實施例可以進一步提供電漿源組件,電漿源組件包括連接到複數個分離電極的功率分佈元件,以將RF功率提供至複數個分離電極,其中分離電極係以跨越表面分佈的圖案佈置,而分離電極中之每一者包括在基本垂直於表面的方向上設置成距離表面第一距離的端部。電漿源組件亦可包括第一RF產生器、第二RF產生器、相位偏移器、訊號產生器、相位偵測器、及相位控制器,第一RF產生器具有用於接收第一RF控制訊號的輸入以及用於將RF功率提供至功率分佈元件上的第一連接點的輸出,第二RF產生器具有用於接收第二RF控制訊號的輸入以及用於將RF功率提供至功率分佈元件上的第二連接點的輸出,相位偏移器係將第一RF控制訊號提供至第一RF產生器的輸入,以回應於相位偏移控制訊號與第二RF控制訊號,訊號產生器將第二RF訊號提供至相位偏移器以及第二RF產生器的輸入,相位偵測器經配置以偵測來自第一RF產生器的輸出與來自第二RF產生器的輸出的相位差,相位控制器係從相位偵測器接收所偵測的相位差,並將相位偏移控制訊號提供至相位偏移器,以改變第一RF訊號的相位。
本揭示的實施例可以進一步提供電漿源組件,電漿源組件包括連接到複數個分離電極的功率分佈元件,以將RF功率提供至複數個分離電極,其中分離電極係以跨越表面延伸的圖案佈置,而分離電極中之每一者包括在基本垂直於表面的方向上設置成距離表面第一距離的端部。電漿源組件亦可包括第一循環器、第二循環器、第一RF產生器、及第二RF產生器,第一循環器包括第一、第二、及第三埠,第二埠經由第一匹配網路在第一位置處將RF功率提供至功率分佈元件,而第三埠連接至第一虛擬負載,第二循環器包括第一、第二、及第三埠,第二埠經由第二匹配網路在功率分佈元件上的第二位置處提供RF功率,而第三埠連接至第二虛擬負載,第一RF產生器以第一頻率將RF功率提供至第一循環器的第一埠,第二RF產生器以第二頻率將RF功率提供至第二循環器的第一埠。
本揭示的實施例可以進一步提供一種在處理腔室的處理區域中形成電漿的方法,該方法包括以下步驟:將RF功率從第一RF產生器遞送到複數個分離電極,其中從第一RF產生器遞送RF功率包含以下步驟:將RF功率提供至耦接至複數個分離電極的功率分佈元件上的第一連接點,而複數個分離電極中之每一者包含在基本垂直於表面的方向上設置成距離表面第一距離的端部;從第二RF產生器將RF功率遞送到複數個分離電極,其中從第二RF產生器遞送RF功率包括以下步驟:將RF功率提供至功率分佈元件上的第二連接點;以及相對於從第二RF產生器遞送到功率分佈元件的RF功率的相位,控制從第一RF產生器遞送到功率分佈元件的RF功率的相位。
本揭示的實施例可以進一步提供一種在處理腔室的處理區域中形成電漿的方法,該方法包括以下步驟:將第一RF訊號遞送到複數個分離電極,其中遞送第一RF訊號包含以下步驟:將RF電流遞送到或者將RF電壓施加至耦接到複數個分離電極的功率分佈元件上的第一連接點,複數個分離電極包含在基本垂直於表面的方向上設置成距離表面第一距離的端部;將第二RF訊號遞送到複數個分離電極,其中遞送第二RF訊號包含以下步驟:將RF電流遞送到或將RF電壓施加至耦接到複數個分離電極的功率分佈元件上的第二連接點;以及選擇第一RF訊號的頻率與第二RF訊號的頻率,而使得遞送到複數個分離電極的第一RF訊號與第二RF訊號在處理區域中產生電漿,其中第一RF訊號的頻率不同於第二RF訊號的頻率。
本揭示的實施例可以進一步提供一種在處理腔室的處理區域中形成電漿的方法,該方法包括以下步驟:將第一RF訊號遞送到複數個分離電極,其中遞送第一RF訊號包括以下步驟:將RF電流遞送到或將RF電壓施加至耦接到複數個分離電極的功率分佈元件上的第一連接點,其中複數個分離電極中之每一者包含在基本垂直於參考電極的表面的方向上設置成距離該表面第一距離的端部;以及將第一RF訊號遞送到複數個分離電極,而使得在功率分佈元件中形成行進波,並在處理區域中形成電漿。
本揭示的實施例可以進一步提供一種電漿源組件,電漿源組件包括具有電極表面的參考電極,其中電極表面具有在中心點處與電極表面垂直的中心軸線。電漿源亦可包括以分佈在平行於與中心軸線垂直的第一平面的至少二個非平行方向上的圖案佈置的分離電極陣列,其中分離電極中之每一者具有平行對準以大於零的角度與第一平面定向的第一方向的長度,而分離電極中之每一者包括設置成距離電極表面第一距離的端部,其中在基本垂直於第一平面的方向上測量第一距離。在一些實施例中,分離電極陣列中的分離電極中之每一者的至少一部分延伸通過開口,開口係通過參考電極而形成。在一些實施例中,參考電極的至少一部分圍繞分離電極陣列中的分離電極中之每一者。在一些實施例中,分離電極中之每一者具有外表面,外表面具有分離電極表面區域,分離電極表面區域包含設置於從分離電極的端部到電極表面的外表面的區域,電極表面具有參考電極表面區域,而所有分離電極表面區域的總和與參考電極表面區域的比率係在0.8與1.2之間。在一些實施例中,電漿源組件進一步包含穿孔板,穿孔板具有通過穿孔板的穿孔表面而形成的複數個開口,其中複數個開口係以配置成在將氣體遞送通過複數個開口時提供跨越穿孔表面的所期望氣體流動分佈的圖案來佈置,且其中電極表面基本平行於穿孔板的穿孔表面。
本揭示的實施例提供可用於任何數量的基板處理技術的電漿源組件及處理腔室設計。本文所述的電漿源組件特別適用於執行電漿輔助乾式蝕刻處理或電漿輔助沉積處理。電漿源組件可以用於可從California的Santa Clara的Applied Materials, Inc.取得的沉積或蝕刻處理腔室,但亦可適用於執行其他類型的電漿處理的腔室以及可從其他製造商處取得的腔室。在本文提供的本揭示的一些實施例中,電漿源包括與參考電極及氣體饋送結構整合的複數個分離電極,以在處理期間產生均勻、穩定、且可重複的電漿。如下面進一步所討論,藉由控制遞送到分離電極的射頻(RF)功率以及控制處理腔室的處理區域內的氣體流動特性的方式,電漿源具有控制所產生的電漿內的氣體解離程度、活性物質組成、自由基密度、自由基通量、離子密度、離子通量、電子密度、電子溫度、離子能量分佈、及其他所期望性質的能力。複數個分離電極包括電極,該等電極可以相對於參考電極而單獨偏壓,以群組的方式偏壓,或全部一致偏壓。在本揭示的一些實施例中,如第1A圖及第2A圖至第2G圖所示,複數個分離電極包括複數個導電桿,複數個導電桿經定位以在處理腔室的處理區域內產生電漿。
第1A圖係為處理腔室100的橫截面圖,處理腔室100包括複數個分離電極165,而適於在處理腔室100的處理區域110中產生電漿111。處理腔室100通常包括上腔室組件20、下腔室組件30、及系統控制器50。上腔室組件20通常包括蓋組件200、電極組件160、流體源組件180、及RF源組件150。如下面進一步所討論,下腔室組件30通常包括腔室主體105與支撐組件115。第1B圖係為從第1A圖所示的橫截線段觀察的下腔室組件30的一部分的橫截面圖。
第2A圖係為根據本文提供的本揭示的蓋組件200與電極組件160的部分的橫截面圖。電極組件160包括複數個分離電極165,複數個分離電極165中之每一者透過一或更多個功率分佈元件161耦接到RF源組件150。分離電極165通常分佈成一圖案,例如跨越平面(例如,XY平面)形成的陣列(相對於蓋組件200的表面226對準及/或定向)。在表面226係為平面的一個配置中,分離電極165係以在平行於平面(例如,XY平面)的至少二個非平行方向上延伸的圖案分佈,該平面係平行於表面226而對準。分離電極165中之每一者通常包括導電桿166,導電桿166係由金屬(例如,銅、鋁、鎳、銀、或其合金)或其他有用的導電材料形成。在一些配置中,導電桿166的形狀可為圓柱形,因此具有在第一方向(例如,第2A圖至第2C2圖中的Z方向)上延伸的直徑與桿長度。在一個實例中,導電桿166的直徑係在約1mm與約15mm之間。在一些配置中,導電桿166可為條形,因此具有非圓形橫截面以及在第一方向上延伸的桿長度。導電桿166中之每一者藉由使用永久或非永久連接技術(例如,藉由使用焊接或釬焊處理,或者藉由使用固定螺釘、可再使用的電連接器(例如,香蕉插頭類型)或其他期望的耦接技術)而在連接點167處耦接到功率分佈元件161。功率分佈元件161包括導電結構元件(例如,金屬板),而適於透過一或更多個連接元件154、155接收從RF源組件150遞送的RF功率,並將所接收的RF能量分佈及遞送到導電桿166中之每一者。在一些實施例中,連接元件154透過連接元件153與頂部功率分佈元件151(例如,金屬板)耦接到RF源組件150。在一些實施例中,連接元件155透過連接元件152耦接到RF源組件150。第2C1圖係為從第2A圖所示的橫截線段觀察的電極組件160的一個配置的一部分的底視圖。在一個配置中,如第2C1圖所示,設置於電極組件160內的功率分佈元件161包括導電板,導電板在中心連接點246處連接到中心連接元件155,並在四個邊緣連接點247處連接到四個連接元件154。功率分佈元件161包括複數個導電腿248,而在連接元件154、155中之一或更多者之間及/或在連接元件154、155中之一或更多者與複數個導電桿166之間提供導電路徑。在一個實施例中,如第2C1圖所示,功率分佈元件161係配置成將所有連接元件154、155與所有導電桿166電連接在一起,而使得透過連接元件154、155中之一或更多者遞送的RF功率可以分佈到電極組件160內的導電桿166中之每一者。
在一些實施例中,導電腿248中之每一者包括複數個分支元件248A,經配置以將導電桿166物理連接及電連接在一起,並將導電桿166連接到導電腿248。在一些配置中,分支元件248A相對於導電腿248中之每一者對稱地定位。在一些實施例中,連接到不同導電腿248的分支元件248A係藉由間隙248B彼此電隔離,以控制連接元件154與連接元件155之間以及連接元件154中每一者之間的路徑長度及電耦接。在一些實施例中,跨越功率分佈元件161而在相鄰分支元件248A之間形成的間隙248B的尺寸都相等。儘管第2C1圖圖示徑向對稱且具有四重對稱性的功率分佈元件161,但是因為可以使用功率分佈元件161的其他對稱或非對稱配置,所以此配置並非意欲限制本文提供的本揭示的範圍。在一個實例中,在蓋組件200的形狀為圓形的配置中,認為具有六重對稱性的功率分佈元件161(亦即,六個導電腿248耦接到六個連接元件154以及中心連接元件155)可以相對於功率分佈元件161的二重或四重對稱配置具有改善且均勻的功率分佈。在其他實例中,功率分佈元件161可以具有大於兩重的對稱性(例如大於六重的對稱性)。在另一實例中,蓋組件200形成為矩形形狀的配置可能需要具有針對二個不同方向(例如,X及Y軸)的對稱性的功率分佈元件161。當從底側或頂側觀察時(亦即,從+/-Z方向觀察),蓋組件200可以形成為使得表面226具有矩形形狀、方形形狀、圓形形狀、橢圓形形狀、或其他類似形狀。在一些配置中,蓋組件200係配置成針對中心軸線CA(第2A圖)對稱,中心軸線CA穿過位於表面226或形成於蓋組件200上或蓋組件200中的其他表面(例如,參考電極元件225A的下表面)處的中心點。在一個實例中,如第2A圖所示,當從+/-Z方向觀察時,中心軸線CA係位於圓形蓋組件200的中心處,並平行於+/-Z方向且垂直於XY平面而定向。
第2C2圖係為從第2A圖所示的橫截線段觀察的電極組件160的替代配置的一部分的底視圖。在電極組件160的替代配置中,功率分佈元件161的替代形式係用於代替第2C1圖中所示的功率分佈元件161。功率分佈元件161的替代形式包括導電板261,導電板261在中心連接點246處耦接到中心連接元件155,並在四個邊緣連接點247處連接到四個連接元件154。功率分佈元件161的替代形式係為實心板,實心板包括穿過導電板261形成(Z方向)的複數個狹槽262,以限制導電板261與連接元件154、155的區域之間及/或在連接元件154、155中之一或更多者與複數個導電桿166之間的電流流動。複數個狹槽262可定向於徑向定向中(如第2C2圖所示),及/或為相對於導電板261(在圖示於第2C2圖)的中心的圓形且同心的配置,以允許導電板261的不同區域265A至265D或扇區之間的所期望量的電連通。在一個實施例中,功率分佈元件161的替代形式係配置成將所有連接元件154、155與所有導電桿166電連接在一起,而使得透過連接元件154、155中之一或更多者遞送的RF功率可以分佈到電極組件160內的導電桿166中之每一者。在一些實施例中,穿過導電板261形成的複數個狹槽262係配置成在處理期間限制導電板的相鄰區域之間的電流流動。
返回參照第1A圖,在一些實施例中,上腔室組件20進一步包括屏蔽結構140,並經配置以防止經由導電桿166從RF源組件150將RF功率遞送到處理區域110的部件中所產生的場影響RF功率到導電桿166的均勻遞送。屏蔽結構140通常是導電結構(例如,鋁),而適於在處理期間防止由RF功率遞送部件中之每一者所產生的場與相鄰RF功率遞送部件及/或其他相鄰的接地或不接地的處理腔室100部件中所產生的場相互作用。屏蔽結構140包括饋送導管145,每一者分別包圍連接元件152、153,並在一個端部處耦接到RF源組件150。在饋送導管145與RF源組件150的界面之間形成的連接點處將饋送導管145耦接至接地。屏蔽結構140亦包括中心饋送導管141,並包圍頂部功率分佈元件151,而在另一端部處耦接到饋送管道145的一個端部及每一分佈式饋送導管142。分佈式饋送導管142中之每一者包圍一個連接元件154的至少一部分。分佈式饋送導管142中之每一者的端部係耦接到蓋組件200,這允許分佈式饋送導管142的端部接地。屏蔽結構140亦包括饋送導管143,並包圍連接元件155的至少一部分。饋送導管143透過下中心饋送導管146耦接到饋送導管145的一個端部與分佈式饋送導管142中之每一者的一部分。認為將屏蔽結構140的相對端部接地並將RF功率遞送部件中之每一者分別包圍在接地的導管結構內可以顯著增強在處理期間通過一或更多個連接元件154、155與電極組件160部件到處理區域110的RF功率的均勻遞送。
在一些實施例中,電極組件160進一步包括複數個電極屏蔽168,而適於將導電桿166與處理腔室的處理區域110物理分離。如第2A圖至第2B圖所示,每一導電桿166的電極端部166A係插入電極屏蔽168內形成的空間(例如,盲孔)內。藉由電極屏蔽168將導電桿166與處理區域110物理分離可以防止由於在電漿處理期間將RF功率遞送到導電桿166時所產生的偏壓而導致的導電桿材料的濺射而對處理腔室與經處理的基板所造成的顆粒污染。電極屏蔽168可以由介電或半導電材料形成(例如,藍寶石、矽、碳化矽、氧化鋁、氧化釔、氧化鋯、含氧化矽(SiOx)材料(例如,石英或熔融石英)、或其組合)。在替代實施例中,使用含矽前驅物(例如,矽烷)及使用原位化學氣相沉積(CVD)處理步驟的塗覆介電或半導電材料(例如,矽或二氧化矽)的導電桿166中之每一者形成電極屏蔽168及/或塗覆處理腔室的處理區域。在任一情況下,如第2A圖所示,並且為第2B圖所示的特寫視圖,分離電極165與電極屏蔽168的至少一部分係設置於蓋組件200內。
蓋組件200包括穿孔面板225和與主體201,主體201包括下板210、支撐板212、及上板214。主體201的下板210係藉由結合層244耦接到穿孔面板225。在一些實施例中,結合層244可以是有機黏合劑。上板214包括形成其中的熱控制導管250A及250B。上板214可以由導電材料製成(例如,鋁),並藉由緊固件(未圖示)耦接到下板210及支撐板212,而使得在下板210、支撐板212、及上板214之間形成金屬對金屬接觸。在一些實施例中,上板214包括絕緣構件218(第2B圖),並定位成圍繞導電桿166中之每一者的至少一部分,以減少及/或最小化電漿處理期間的導電桿166與上板214的導電材料之間的電容耦接。在一些實施例中,下板210、絕緣構件218、及/或支撐板212係由陶瓷、半導體或介電材料(例如,矽(Si)、碳化矽(SiC)、石英、氧化鋁(Al2 O3 )、或氮化鋁(AlN))形成。蓋組件200的主體201及穿孔面板225可以藉由緊固件(未圖示)耦接到腔室主體105。密封件156(例如,彈性O形環)可以設置於主體201與腔室主體105之間,以密封處理區域110,以及使主體201與腔室主體105電絕緣。
在一些實施例中,電極屏蔽168的至少一部分係設置於蓋組件200部件中之一或更多者內並藉由蓋組件200部件中之一或更多者支撐。如第2A圖至第2B圖所示,電極屏蔽168係藉由使用二個O形環169而設置於上板214與下板210之間,並密封到上板214及下板210。在此配置中,電極屏蔽168將真空提供到大氣壓界面,而在處理期間將設置於蓋組件200的大氣壓力側上的導電桿166與處理區域110中維持的真空環境物理隔離。可以藉由選擇具有所期望厚度(例如,Z方向上的厚度)的下板210或者藉由選擇具有所期望的物理長度的導電桿166與電極屏蔽168來控制導電桿166與電極屏蔽168插入處理區域110中的插入長度211。如下面進一步所討論,可以調整插入長度211及/或電極與基板間隔213,以改變基板112的表面上方及處理區域110內的電漿特性。在使用導電桿166與電極屏蔽168二者的一個實施例中,電極屏蔽168的端部中之每一者(例如,分離電極165的端部)的插入長度211係在約-10毫米(mm)與約+20mm之間,其中當導電桿166的電極端部166A與屏蔽尖端168A凹陷於導電桿166與電極屏蔽168位於蓋組件200的下板210內的孔洞內時,產生負插入長度211。在一個實施例中,所有電極屏蔽168的插入長度211係在約0.1毫米(mm)與約5mm之間(例如,在約1mm與約2mm之間)。在另一實施例中,分離電極165中的所有電極屏蔽168的插入長度211係在約-10mm與約-0.1mm之間(例如,在約-0.5mm與約-2mm之間)。在一個實施例中,分離電極165中的所有電極屏蔽168的插入長度211都是相同的長度。在一些實施例中,電極屏蔽168的外徑與形成於下板210內的孔洞210A之間的間隔被最小化,以防止電漿可能在其中點亮,如下面進一步所討論。在不使用電極屏蔽168的配置中,測量從電極端部166A到表面226的插入長度211。
儘管分離電極165的導電桿166與電極屏蔽168在第2A圖至第2C2圖中係圖示為定位成與穿孔面板225的表面226垂直定向,但是由於導電桿166與電極屏蔽168可以相對於表面226或水平面(例如,XY平面)成一角度(例如,第2B圖所示的角度199)定向,所以此配置並不意欲限制本文所提供之揭示之範圍。在一些配置中,角度199可以在約45°與約90°之間(例如,在約85°與約90°之間)。
穿孔面板225包括複數個開口282,複數個開口282係耦接到複數個氣體導管162,以將處理氣體提供到處理區域110。在此實施例中,穿過下板210、支撐板212、及上板214而形成氣體導管162,以將處理氣體提供到形成於結合層244中的分佈通道283與形成於穿孔面板225中的開口282。流體源組件180包括一或更多個氣體源243,經配置以將一或更多種處理氣體提供到氣體導管162與處理區域110中之一或更多者。在一些實施例中,藉由使用可由系統控制器50控制的習知質量流量控制器、閥、及其他習知氣體遞送裝備來控制從一或更多個氣體源243提供到氣體導管162的處理氣體。可以從形成於穿孔面板225中的二或更多個氣體分佈區域將處理氣體引入到處理區域110中。二或更多個氣體分佈區域通常為徑向劃分,並且可以例如用於組合第2E圖所示的區域(例如,三個區域125A、125B、及125C)中之二或更多者。在一些應用中,當處理氣體之間存在不相容性時或者當需要在處理區域110中混合時,藉由使用單獨的氣體導管162形成二或更多個分離的氣體分佈網路。在一些實施例中,可以控制一或更多個氣體源243,以跨越穿孔面板225的不同區域(例如,在中心區域與邊緣區域之間)提供局部氣體分佈控制。局部氣體控制可以包括調整各種處理氣體的氣體流量比率(例如,聚合與非聚合化學物質比、氧化與非氧化化學物質比、沉積前驅物氣體的不同比率、及/或惰性與活性化學物質的比率)。
穿孔面板225可以由含矽材料製成(例如,用於電子裝置製造處理的碳化矽(SiC)碟或矽晶圓)。在一個實施例中,穿孔面板225可以包括碳化矽(SiC)材料,具有二氧化矽(SiO2 )、氮化矽(Si3 N4 )、矽(Si)、氮化鋁(AlN)、或石墨板定位其上,而使得碳化矽(SiC)材料經定位而背向表面226,或者位於與表面226相對的表面上。在另一實施例中,穿孔面板225可以由例如藍寶石、矽、氧化鋁、氧化釔、氧化鋯、氮化鋁、或氧化矽(SiOx)的材料形成。穿孔面板225可以是任何尺寸,並包括任何合適的表面區域。然而,在一個實施例中,穿孔面板225係為450毫米(直徑)的矽晶圓。穿孔面板225的矽材料可以是摻雜的或未摻雜的,以提供增強的導電或介電性質。穿孔面板225可以可選擇地包括參考電極元件225A,參考電極元件225A包括導電層、分佈式導電區域、導電片、或導電板,以作為遞送到複數個分離電極165的RF功率的參考電極。在一些實施例中,參考電極元件225A包括藉由使用習知沉積或摻雜處理而形成於多孔面板225的表面上的金屬層(例如,Al、Ni、Cu)。在一些實施例中,參考電極元件225A包括形成於穿孔面板225的表面上的介電或半導電材料的摻雜區域。在一些實施例中,參考電極元件225A係設置於穿孔面板225的不直接暴露於處理區域110的表面上。在一個實施例中,參考電極元件225A包括下表面,下表面係定向成基本上平行於基板112的相鄰定位的表面(例如,基板的頂表面)。如第2A圖所示,下表面可以在平行於XY平面的方向上延伸,並具有至少與基板112的最大尺寸一樣大的相對的外邊緣(例如,對於300mm大小的基板而言係≥300mm)。在一種配置中,參考電極元件225A的下表面係如第2A圖所示為平面,或者具有彎曲形狀(例如,相對於XY平面的凸起或凹陷形狀)。然而,在蓋組件200僅覆蓋基板表面的一部分的配置中(例如,第7B圖所示的「楔形」配置),參考電極元件225A的下表面係形成而使得參考電極元件225A的表面區域小於基板112的上表面的表面區域。在此情況下,參考電極元件225A在平面圖中可以具有非圓形或圓形形狀。在下面參照第7B圖進一步討論的一些配置中,參考電極元件225A可以具有至少與基板112的直徑一樣大的長度尺寸,但是在XY平面中具有小於基板112的直徑的橫向尺寸(例如,寬度或者具角度的扇形尺寸)。在電漿處理期間基板環繞基板的中心旋轉的一些替代配置中,參考電極元件225A可經配置以具有從圓形基板的中心經過基板的外邊緣沿著徑向方向延伸的長度,並在平面圖中具有由一角度(例如,銳角、鈍角)定義的圓周範圍。
在一個實施例中,參考電極元件225A在一或更多個位置處直接耦接到RF接地。然而,在一些實施例中,如下面進一步所討論(參見第3A圖),參考電極元件225A透過阻抗控制裝置(例如,RF匹配)耦接到RF接地。在一些實施例中,對參考電極元件225A進行的RF接地連接係環繞對稱軸線或其他對稱特徵而對稱設置。RF接地連接的數量可以至少等於上腔室組件20中所使用的連接元件154的數量。
在穿孔面板225的替代配置中,穿孔面板225並未包括參考電極元件225A,因此相對於遞送到主動偏壓導電桿166的所施加RF功率而電浮動。在此配置中,導電桿166的一部分直接或選擇性連接到接地,並因此作為用於由RF源組件150部件主動偏壓的其他導電桿166的參考電極。在一個配置中,在處理期間,導電桿166的一半係由RF源組件150主動偏壓,而另一半接地,其中主動偏壓的導電桿166的最接近鄰居中之至少一者係為接地的導電桿166。
形成於穿孔面板225中的開口282可以使用合適的孔洞形成技術(例如,蝕刻或雷射鑽孔)而形成。在一個實施例中,藉由穿矽通孔(TSV)處理而形成開口282。在一個實施例中,開口282中之每一者的直徑係為約50微米(μm)至約64μm。在開口282並未具有圓形橫截面形狀的配置中,可期望將開口的最大橫截面尺寸保持為小於約50微米(μm)至約64μm的大小。與穿孔面板225的表面區域相關,開口282可以很多個(亦即,密集),以最大化流導及/或最小化分佈通道283中的壓力。如第2A圖至第2B圖所示,以跨越穿孔板225的表面226的陣列或圖案而定位開口282。陣列或圖案通常係配置為與分離電極165交錯,並跨越穿孔面板225的表面226提供所期望的氣體流動圖案,而因此提供於處理區域110內。調整開口282的大小以及開口282的密度中之一或更多者,以減少電漿在分佈通道283或主體201的其他部分中點亮的可能性。在參考電極元件225A定位成緊鄰分離電極165的配置中,抑制開口282、分佈通道283、或主體201的其他部分中的電漿點亮的需要變得越來越重要。利用針對穿孔面板225的矽晶圓來提供蓋組件200的可更換消耗元件。舉例而言,隨著時間的推移,電漿可能侵蝕穿孔面板225的表面。當受到侵蝕時,可以將穿孔面板225與下板210分離,並且可以結合新的穿孔面板225。
第2D圖至第2F圖係為圖示可用於在電漿處理期間產生具有所期望電漿性質的電漿的分離電極165的不同圖案的蓋組件200的底視圖。應注意,為了清楚討論之目的,已從第2D圖至第2F圖所示的穿孔面板225的表面省略了開口282。第2D圖圖示具有跨越穿孔面板225的表面226的分離電極165的不均勻徑向分佈的蓋組件200配置。第2E圖圖示具有三個區域125A、125B、及125C的蓋組件200配置,每一者具有形成其中的分離電極165的不同徑向分佈。在一些配置中,不同區域中之每一者中的分離電極165中之每一者可以單獨偏壓,以在其中提供不同的電漿密度。在一個實例中,第一區域125A中的分離電極165中之每一者係耦接到第一功率分佈元件,第二區域125B中的分離電極165中之每一者係耦接到第二功率分佈元件,而第三區域125C中的分離電極165中之每一者係耦接到第三功率分佈元件,其中第一、第二、及第三功率分佈元件中之每一者可以由RF源組件150中的部件一致或單獨偏壓。第2F圖圖示具有跨越XY平面與穿孔面板225的表面226的分離電極165的均勻分佈的蓋組件200配置。第2D圖至第2F圖所示的分離電極165的各種配置僅意欲圖示以平行於平面(亦即,XY平面)對準的圖案分佈的分離電極165的陣列的幾個實例。如圖所示,所示圖案包括在至少二個非平行方向(例如,X及Y方向)上分佈的分離電極165的陣列。
第2G圖係為示意性圖示分離電極165的圖案、氣體遞送開口282的散佈圖案、及參考電極元件225A的一部分的蓋組件200的一部分的底視圖。為了討論的容易及清楚,圖示第2G圖所示的參考電極元件225A的沒有任何中介材料(例如,穿孔面板225的非導電部件)的部分。
第2H圖係為包括分離電極165的局部橫截面圖與面板225的底視圖的蓋組件200的一部分的底視圖。如第2H圖所示,如上所述,分離電極165係設置成通過面板225的一部分,並包括導電桿166與電極屏蔽168。在一些實施例中,屏蔽間隙278係形成於導電桿166與電極屏蔽168之間。通常期望最小化屏蔽間隙278的大小,以改善導電桿166在處理期間與處理區域110的電容耦接。然而,在一些配置中,屏蔽間隙278可以設計為在0.01mm與1mm之間。此外,在分離電極165的表面與穿孔面板225及下板210的相鄰表面之間形成真空間隙276。真空間隙276係為不包含材料的區域(例如,包含真空的區域),而形成於每一分離電極165的外邊緣(例如,電極屏蔽168的外表面)與穿孔面板225及下板210的相鄰定位表面之間。在一個實例中,相鄰表面可以包括具有內邊緣229的通孔,內邊緣229係與分離電極165的外表面間隔開等於真空間隙276的距離279。真空間隙276的大小通常使得在處理期間不會在其間形成的空間中形成電漿,並且可以在0.1mm與1mm之間(例如,在約0.1與約0.25mm之間)。
如第2G圖所示,分離電極165係跨越XY平面(例如,平行於蓋組件200的表面226)設置成所期望的圖案。參考電極元件225A(亦即,交叉影線區域)亦以所期望的圖案設置於圍繞分離電極165中之每一者,並在分離電極165中之每一者之間,以針對由RF源組件150提供到分離電極165中之每一者的RF功率提供對稱及可靠的接地路徑。如第2G圖及第2H圖所示,參考電極元件225A的至少一部分係圍繞分離電極165中之每一者。與暴露至處理區域的每一分離電極165的暴露的尖端表面區域相關聯的總區域可以定義為電極表面區域A1,而參考電極元件225A的交叉影線區域可以定義為總參考電極表面區域A2。當在具有對稱配置的處理區域110的處理腔室配置中使用,並且亦在具有定義部件(例如,腔室襯墊107)及/或腔室壁的非對稱配置的處理區域110的處理腔室配置使用時,對於控制電漿均勻性、電漿密度、或電漿離子能量而言,在分離電極表面區域A1與參考電極表面區域A2之間具有確定比率的對稱且可靠的接地路徑會是重要的。
在每一分離電極165與參考電極元件225A之間形成電極間隙287。電極間隙287係由參考電極元件225A的邊緣288與分離電極165的一部分之間形成的距離286所定義。空間或距離286係由分離電極165的一部分與參考電極元件225A的邊緣288之間產生的最小距離所定義。在一些配置中,中介的半導電及/或介電材料以及包含真空的區域(例如,真空間隙276)可以設置於電極間隙287內。參照第2G圖及第2H圖,在使用電極屏蔽168的一些實施例中,電極間隙287係形成於分離電極165的電極屏蔽168的外邊緣與邊緣288之間,或者取決於蓋組件200內的分離電極165的插入長度211,電極間隙287可以形成於從電極屏蔽168的屏蔽尖端168A(第2B圖)到邊緣288。如第2H圖所示,電極間隙287具有在電極屏蔽168的外邊緣與邊緣288之間所形成的距離286,距離286不同於面板225的邊緣299與電極屏蔽168之間所形成的真空間隙276的距離279。在替代配置中,電極間隙287的距離286可以相同於分離電極165與面板225的邊緣299(未圖示)之間的真空間隙276的距離279。在一些實施例中,距離286係在約0.5mm和與10mm之間(例如,在約1mm與約5mm之間)。在一個實例中,當在處理腔室中執行通常在接近10Torr的壓力下運行的電漿處理時,由電極間隙287提供的距離286係設定為約3mm,而當電漿處理為通常在接近1Torr的壓力下運行時,則設定為約10mm。儘管第2G圖係以正方形或矩形圖案圖示分離電極165的圖案與氣體遞送開口282的散佈圖案,但是因為可以使用分離電極165及/或開口282的任何其他期望的圖案(例如,徑向圖案或六邊形圖案),所以此配置並非意欲限制本文提供的本揭示的範圍。
第2I圖係為第2B圖所示的分離電極165的一部分的示意性側剖面圖,為清楚起見,移除蓋組件200的一部分。如第2I圖所示,分離電極165中之每一者包括附接到功率分佈元件161的導電桿166。導電桿166插入電極屏蔽168中,電極屏蔽168圍繞導電桿166的下部,並設計成將導電桿166與處理腔室100的處理區域110(第1A圖及第2A圖)物理分離。導電桿166及電極屏蔽168係定位成通過參考電極元件225A中的開口,而使得導電桿及/或電極屏蔽的部分處於影響處理區域110中所形成的電漿的位置。如第2I圖所示,暴露於處理腔室的處理區域並位於參考電極元件225A下方的電極屏蔽尖端168A的外表面部分具有表面區域A1,而參考電極元件225A具有面向處理區域的表面區域A2。已經發現,分離電極165的表面區域A1的總和與參考電極元件表面區域A2的比率可以影響處理期間的電漿密度、電漿均勻性、或電漿離子能量。在操作中,存在可以改變區域A1與A2之間的比率的許多方法。在一個實例中,可以藉由確定分離電極的定位成通過參考電極元件225A中的孔洞並暴露於處理區域的部分的插入長度211(第2B圖)來控制區域A1。暴露於處理區域的分離電極165中之每一者的部分越大,則區域A1相對於A2越大。可以藉由選擇具有所期望厚度(例如,Z方向上的厚度)的下板210或藉由選擇具有所期望長度的導電桿與電極屏蔽來實現控制分離電極165中之每一者的暴露於處理區域的插入長度211(第2B圖)。此外,參考電極元件的表面區域A2可經調整及配置,以實現區域A1與A2之間的期望比率。
第2J圖係為可以用於控制區域A1與區域A2之間的區域比率的分離電極165的另一實施例的橫截面圖。在一個配置中,分離電極165包括具有橫向配置的尖端部分273的電極屏蔽168,尖端部分273比第2I圖所示的電極屏蔽168的電極屏蔽尖端168A部分的配置更寬。電極屏蔽168包括側壁272、平坦底部尖端部分273、及具有內側壁276與內底部表面275的內部部分274。導電桿166係附接到具有所期望的形狀及大小(例如,表面區域)的導電尖端290或由導電尖端290形成。導電尖端290通常由於其形狀及分離電極165內的位置以及相對於參考電極元件225A的位置,而配置成藉由期望地分佈處理期間而建立的所產生電場,以在處理區域110內產生所期望的局部電漿均勻性及電漿密度。在一個配置中,導電尖端290具有比導電桿166更大的橫向區域(亦即,第2H圖所示的XY平面)。導電尖端290可以由金屬(例如,銅、鋁、鎳、銀、鈦、或其合金)或其他有用的導電材料形成。在一個實施例中,導電尖端290可以由與導電桿166相同的導電材料形成。在一個實施例中,導電尖端290由不同於導電桿166的導電材料形成。
在一些實施例中,為了確保導電桿166及導電尖端290具有與電極屏蔽168及蓋組件200一致的關係,提供彈簧組件280,以將壓力施加至導電桿166與導電尖端290,而使得導電尖端290至少與電極屏蔽168的尖端部分273的內底部表面275接觸。在一些實施例中,電極屏蔽168包括放置於下板210的表面上的上屏蔽部分271,以可靠地固定內底部表面275相對於參考電極元件225A的位置。在一個配置中,如第2B圖所示,電極屏蔽的上屏蔽部分271係放置於O形環169上,O形環169係放置於下板210的表面上。因此,彈簧組件280、導電桿166、導電尖端290、電極屏蔽168、及下板210的支撐表面的位置的配置係用於提供導電尖端290相對於參考電極元件225A與處理腔室的處理區域110的一致定向及位置(例如,Z方向)。
第2K圖係為第2J圖所示的導電尖端290的特寫圖示。導電桿166係裝配在電極導電尖端290的插座294內。下彎曲區域292提供具有將下表面291連接到側壁293的「彎曲邊緣」或成形邊緣295的暴露邊緣區域。與成形邊緣295組合的下表面291提供包括導電尖端290處的寬的平坦接觸表面的所期望的電極形狀。平坦接觸表面可以用於維持跨越電極屏蔽168的平坦的內底部表面275上的牢固且均勻的接觸,而藉此確保跨越具有相同或類似風格的導電尖端290的蓋組件200分佈的導電桿166與導電尖端290的一致長度。
第2L圖係為導電尖端290的替代實施例的特寫圖示。在此實施例中,導電尖端290具有下表面291,下表面291具有連接到導電尖端290的側壁293的非平坦或彎曲的形狀。下表面291的彎曲形狀可以是半球形、圓錐形(未圖示)、或任何其他彎曲輪廓。當RF偏壓時,下表面291的彎曲形狀可以提供所期望的場形狀及/或與電極屏蔽168的內底部表面275的一致接觸點(例如,配合平坦表面以避免平行度問題),而在使用相同風格的導電尖端290時,藉此確保跨越蓋組件200的導電桿166與導電尖端290的一致長度。
第2M圖係為如第2J圖所示的導電尖端290的另一替代實施例的特寫圖示。在此實施例中,導電尖端290具有T形配置,而具有下表面291、成形邊緣295、下側壁296、成形上區域297、及連接區域298。連接區域298將成形上區域297連接到上側壁293。與成形邊緣295組合的下表面291提供接觸表面,而可以允許導電尖端290維持跨越電極屏蔽168的平坦內底部表面275的牢固且均勻的接觸,而當使用相同風格的導電尖端時,藉此確保跨越蓋組件200的導電桿166與導電尖端290的一致長度。儘管未圖示於第2M圖中,但是在一些實施例中,下表面291可以具有彎曲形狀(例如,凸起或凹陷形狀),以在RF偏壓時,提供所期望的場形狀及/或與電極屏蔽168的內底部表面275的一致接觸點或線。
第2N圖圖示第2G圖所示的參考電極元件與分離電極陣列的關係的替代實施例的底視圖。第2N圖描繪分離電極165的陣列,其中分離電極165的總暴露表面區域的關係或分離電極165的電極表面區域A1的總和係與參考電極元件225A的表面區域A2大致相同。區域A1與A2並不包括形成於分離電極165的邊緣與參考電極元件225A的邊緣288之間的電極間隙287。可以調整跨越圍繞分離電極165的電極間隙287的距離286,以控制所形成的電漿的性質及/或調整參考電極元件225A的區域A2。已經發現,當使用分離電極165的總區域或分離電極165的區域A1的總和係與面向處理區域的參考電極元件225A的側表面區域(例如,平行於第2A圖及第2N圖所示的XY平面)的區域A2相同的配置時,電漿密度與電漿均勻性的一致性增加。在一個實例中,電極表面區域A1的總和與面向處理區域的表面區域A2的比率係在0.8:1與1.2:1的比率之間。在另一實例中,表面區域A1的總和與面向處理區域的表面區域A2的比率係在0.9:1與1.1:1之間。
返回參照第2A圖,在一些實施例中,熱控制導管250A與250B可操作地耦接到溫度控制系統(未圖示)。溫度控制系統包括與系統控制器50通訊的溫度控制器(未圖示)。系統控制器50通常係設計成促進處理腔室100的控制及自動化,並且可以與各種感測器、致動器、及相關聯於處理腔室100的其他裝備通訊。系統控制器50通常包括中央處理單元(CPU)(未圖示)、記憶體(未圖示)、及支援電路(或I/O)(未圖示)。CPU可以是工業設定中用於控制各種系統功能、基板移動、腔室處理、及控制支援硬體(例如,感測器、內部及外部機器人、馬達、氣體流量控制等)的任何形式的電腦處理器中之一者,並且可監測系統中所執行的處理(例如,RF功率測量、腔室處理時間、I/O訊號等)。記憶體係連接至CPU,並且可以是電腦可讀取媒體,可以是例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其他形式的數位儲存的本端或遠端的容易取得的記憶體中之一或更多者。可以將軟體指令及資料編碼並儲存於記憶體中,以指示CPU。支援電路亦連接至CPU,以藉由習知方式支援處理器。支援電路可以包括快取記憶體、功率供應器、時脈電路、輸入/輸出電路,子系統、及類似者。可藉由系統控制器50讀取的程式(或電腦指令)確定哪些任務可在處理腔室100中的基板上執行。較佳地,程式係為可藉由系統控制器50讀取的軟體,並包括用於執行與監測、執行、及控制基板的移動、支撐、及/或定位相關的任務的代碼,以及在處理腔室100中執行的各種處理配方任務及各種腔室處理配方操作的代碼。
溫度控制系統可以包括與溫度控制器通訊的溫度感測器。溫度感測器可以定位於主體201內,以監測蓋組件200的主體201的溫度。在一些實施例中,腔室主體105包括耦接到溫度控制器的溫度控制導管。溫度控制器可以包括伺服控制器,而控制連到電阻加熱器的電功率,以及流體控制連到熱控制導管250A的流體。在操作中,可以依據來自溫度感測器中之一或更多者的反饋,而藉由系統控制器50將蓋組件200的設定點溫度提供到溫度控制器。溫度控制系統的實施例可以用於在電漿打開狀態及電漿關閉狀態之間的循環期間提供蓋組件200的均勻溫度。處理設定點溫度的維持可以在單一基板處理以及基板對基板處理中產生更穩定的處理結果。以此方式,可靠地控制蓋組件200的溫度控制及處理溫度。如本文所述的溫度控制系統的實施例可以用於維持約攝氏120度至約攝氏160度的設定點溫度。
返回參照第1A圖至第1B圖,下腔室組件30包括腔室主體105與支撐組件115。支撐組件115可以包括電極464,而可以藉由使用支撐RF源組件460來偏壓。支撐RF源組件460包括RF功率供應器461與RF匹配462,而經配置以將RF頻率以約50kHz與200MHz之間(例如,約13.56MHz與約162MHz之間,或者甚至約50MHz與約162MHz之間)的頻率提供到電極464。支撐RF源組件460亦可以包括切換裝置469,而設置於電極464與RF功率供應器461之間(例如,電極464與RF匹配462之間)。切換裝置469可以包括RF同軸真空中繼器,而在打開狀態時具有高阻抗,並在關閉狀態下具有低阻抗,如下面進一步所討論。因此,電極464可以與分離電極165一起使用,以在處理區域110中形成電漿。可以調整供應到電極464的RF功率、相對於分離電極165的基板112的位置、及/或提供到分離電極165的RF功率,以控制所形成的電漿(例如,自由基及/或離子相互作用的量)與基板112的暴露表面的相互作用。支撐組件115可以是真空卡盤、靜電卡盤、或其他類型的基板支撐件(可以由導熱材料製成(例如,鋁或氮化鋁))。
腔室主體105包括腔室襯墊107,而經配置以將處理區域110與下腔室區域430分離。腔室主體105包括複數個腔室側壁405與腔室底板410,而可由一或更多種處理兼容材料形成(例如,鋁、陽極氧化鋁、鍍鎳鋁、鍍鎳鋁6061-T6、不銹鋼、及其組合與合金)。複數個腔室側壁405與腔室底板410經配置以支撐容納於上腔室組件20內的部件中之一或更多者,並藉由使用泵440而允許在處理區域110、下腔室區域430、及排空區域411內維持所期望的壓力。在一個實例中,泵440包括渦輪泵及/或機械泵,而能夠在處理區域110、下腔室區域430、及排空區域411內產生真空壓力。在一個處理實例中,在基板112的電漿處理期間,在處理區域110內將真空壓力維持成約10mTorr與10Torr之間(例如,約100mTorr與10Torr之間)的壓力。
腔室主體105亦包括形成在側壁405中之一者中的裝載埠(未圖示)。然後,藉由狹縫閥(未圖示)選擇性打開及關閉裝載埠,以允許藉由基板處理機器人(未圖示)進出腔室主體105的內部。可以透過裝載埠將基板112轉移進及轉移出處理腔室100,裝載埠係連到處理腔室100所設置的相鄰轉移腔室(未圖示)及/或裝載鎖定腔室,或者連到處理腔室100所在的群集工具內的另一腔室。支撐組件115可以相對於腔室主體105移動。基板112可以設置於支撐組件115的上表面130上,以進行處理。支撐組件115可以位於與裝載埠相鄰的位置,以用於基板轉移。支撐組件115亦可以移動到靠近蓋組件200的下表面126的位置,以進行處理。支撐組件115亦可以相對於腔室主體105旋轉。提升銷(未圖示)亦可以用於使基板112遠離支撐組件115的上表面130,以在基板轉移期間能夠與基板處理機器人進行交換。
如第1A圖所示,側壁405與腔室底板410圍繞排空區域411。真空泵440係設置於腔室底板410中的真空泵開口410a中,並相對於側壁405的對稱軸線而位於中心。與支撐組件115同軸的容納壁415以及延伸於台座120與容納壁415之間的可撓波紋管417將支撐組件115圍繞於內部中央空間419中。中央空間419係與由真空泵440排空的包括排空區域411及處理區域110的容積隔離。參照第1B圖,存在三個中空徑向支柱420,並定義以120度間隔而隔開的徑向進出通路421,而延伸通過腔室主體側壁405,並提供對於中央空間419的進出。三個軸向排氣通路422係定義於三個徑向支柱420之間。可以透過不同的徑向進出通路421提供不同的用途,例如包括連接到電極464的RF功率電纜或剛性RF傳輸線132、連接到支撐組件115中的加熱器元件的加熱器電壓供應線、連接到電極464的靜電夾持電壓供應線、冷卻劑供應線、及用於工件支撐表面121中的背側氦氣通道的氦供應線。工件支撐提升致動器450係相對於腔室主體是固定的,並藉由使用機械致動器(未圖示)垂直(例如,Z方向)移動支撐組件115。因此,工件支撐提升致動器450可以用於藉由使用從系統控制器50接收的命令來控制電極對基板間隔213(第2B圖)。此距離的變化係改變所形成的電漿111內的電漿離子密度的分佈。提升致動器的移動可以用於改善跨越基板112的表面的處理(例如,蝕刻)速率的分佈均勻性。此距離的變化亦可用於改變電漿離子或電子密度相對於工件處的電漿自由基密度的比率。在一些實施例中,可期望在基板上執行電漿處理配方的不同部分期間調整電極對基板間隔213,而可以調整基板的表面暴露於形成於電漿中的離子及/或自由基的量。在一個實例中,可期望在第一處理步驟期間將基板的表面定位成更靠近分離電極165,以允許基板暴露於電漿中所產生的第一數量的離子,然後在第二處理步驟期間將基板的表面定位成距離分離電極165更遠的距離,以允許基板暴露於電漿中所產生的第二數量的離子。在此實例中,基板表面所暴露的第二數量的離子將小於基板表面在第一處理步驟期間所暴露的第一數量的離子。在另一實例中,藉由在處理期間切換處理步驟的順序,基板與分離電極165之間的間隔係與先前實例相反,以改變基板表面暴露於所產生的離子的量。舉例而言,提升致動器450可以藉由使用者透過系統控制器50來控制。
包括真空泵開口410a與軸向排氣通路422的軸向中心排氣組件避免跨越基板112的處理分佈的不對稱或歪斜。下環狀柵格107B遮蔽處理區域110,而免於徑向支柱420的不連續或影響。軸向中心排氣組件與接地板184下方的RF電流的對稱分佈的組合最小化整個處理區域110的歪斜效應,並增強處理區域110中的處理均勻性。
在一個實施例中,上腔室組件20包括磁體組件,磁體組件包括由磁體源177供電的電磁體176。磁體組件可以是環繞軸線對稱或尖點型磁體系統,而在處理腔室的邊緣處或中心建立具有峰值場的B場。可替代地,磁體組件可以包括「XY」線圈組件(例如,二或更多個線圈),而可以藉由使用透過在「XY」線圈組件中找到的所期望定向的線圈提供的電流的組合來提供跨越基板的表面而指向平行於基板的表面的任意方向的磁場。改變所產生的磁場的能力可以用於補償由電極組件160中所發現的部件形成的電漿中的不對稱性。改變所產生的磁場的能力亦可用於校正處理腔室中所處理的基板的性質中的任何不對稱性(例如,現有的厚度不均勻性),或者有意地在處理腔室中的基板上執行的處理結果中建立不對稱性,而預期在基板上執行的後續處理步驟中發現的處理結果的歪斜。磁體組件可以用於調諧電漿性質,以改變一或更多個處理變量(例如,蝕刻速率或沉積速率)。舉例而言,在高RF頻率(例如,162MHz)下,可以利用磁體來減少在基板112的中心附近發現的電漿密度的峰值。在一些實施例中,較低RF頻率(例如,約<60MHz)可能不需要磁體來調諧所產生的電漿。RF 功率遞送配置實例
第3A圖係為根據本文提供的本揭示的實施例的設置於蓋組件的電極組件160內的主RF功率遞送元件的橫截面圖。電極組件160包括透過功率分佈元件161耦接到導電桿166的連接元件154與中心連接元件155。導電桿166係配置為藉由使用RF源組件150中的RF功率產生部件而相對於參考電極(例如,參考電極元件225A或處理腔室壁(未圖示))而偏壓。在處理期間,從耦接到連接元件155的RF源提供RF電流「A」,而從耦接到連接元件154的RF源提供RF電流「B」。如下面進一步所討論,將功率提供到連接元件154與155的RF源可以是相同的RF源或不同的RF源。藉由控制透過連接元件154、155中之每一者遞送的RF功率的特性,以及藉由使用RF功率傳導元件的結構態樣(例如,功率分佈元件161與導電桿166),而可以控制提供到處理區域110的RF功率的均勻性以及因此產生的電漿111。在一些實施例中,電極組件160中的RF功率分佈部件的物理特性及/或連接元件154、155接觸功率分佈元件161的位置係經配置,並依據提供到電極組件內的不同功率遞送元件的RF功率之間產生的干擾,而使得由RF源所提供的RF功率具有所期望產生的波圖案。在一些實施例中,調整提供到連接元件154、155與功率分佈元件161的RF功率的電特性(例如,相角、頻率、功率),而使得由RF源所提供的RF功率能夠依據受驅動部件的阻抗、由電漿產生的負載、及在單獨提供到電極組件內的功率遞送元件的不同部分的RF功率之間產生的干擾,而實現所期望的波圖案以及前向RF功率訊號。
第3B圖係為設置於蓋組件的電極組件160內的主RF功率遞送元件的另一配置的橫截面圖。第3B圖所示的配置與第3A圖所示的配置的不同之處在於,可以在處理腔室100的製造期間,或者在藉由使用將連接元件的一端耦接到功率分佈元件161的一部分的連接組件330來將處理腔室安裝至場中時,調整連接元件(例如,第3A圖所示的連接元件155)的連接點363。在一個實施例中,連接組件330包括分佈板331,分佈板331包括複數個連接特徵332,複數個連接特徵332中之每一者係配置為接收導電連接元件341的一端,而導電連接元件341在相對端處耦接到功率分佈元件161的一部分。在一些配置中,可期望將導電連接元件341中之一或更多者定位至連接特徵332中,而使得一或更多個導電連接元件341距離功率分佈元件161的對稱位置(例如,功率分佈元件的中心點)一距離335。距離335或特徵332的間隔可經配置以最小化特定負載條件與驅動RF頻率的不均勻性。調整連接元件154、155與功率分佈元件161之間所形成的一或更多個連接點的物理位置的能力可以藉由改變在功率分佈元件161中形成的所產生波圖案,而用於補償及/或產生在處理區域110中形成的電漿中的所期望的不對稱性。
複數個分離電極165、功率分佈元件161、及參考電極225B係描繪為第4A圖至第4B圖、第5A圖至第5B圖、第6A圖至第6B圖、及第7A圖的加載傳輸線系統190,並在下面進一步描述。參考電極225B可以包括參考電極元件225A及/或接地處理腔室壁。在另一實施例中,如前所述,參考電極225B係由複數個分離電極的一部分形成,複數個分離電極係與參考電極元件225A分開接地。
第4A圖係為描繪用於驅動複數個分離電極165的RF源組件150的實施例的示意圖。RF遞送組件150包括RF產生器351、匹配網路355、及連接元件154、155。第3A圖的功率分佈元件161、複數個分離電極165、及參考接地係描繪為利用複數個阻抗365(示意性表示當在處理區域110中藉由將RF功率遞送到分離電極165而產生電漿時所產生的阻抗)加載的傳輸線系統190。複數個阻抗365通常是隨著驅動頻率下的RF功率的量而變化的複阻抗(Z f =R f +j XL f +XC f )) ),而相位係在處理區域110內形成電漿期間進行調整或變化。阻抗365通常包括電阻部件R、電感部件XL 、及電容部件XC 。接合部361使用連接元件154、155將匹配網路355的輸出連接到功率分佈元件161。為了簡化討論,在此實例中,所形成的電子電路包括二個連接元件154與一個連接元件155,然而,因為亦可以使用附加的連接元件154、155,所以此配置並非意欲限制本文提供的本揭示的範圍。因此,在此實例中,連接元件155將接合部361連接到功率分佈元件161的中心附近的連接點246(第2C1圖至第2C2圖)。第一連接元件154將接合部361連接到定位於功率分佈元件的一個邊緣(例如,功率分佈元件161的左邊緣)處的連接點247(第2C1圖至第2C2圖)。第二連接元件154將接合部361連接到定位於功率分佈元件的另一邊緣(例如,功率分佈元件161的右邊緣)處的連接點247(第2C1圖至第2C2圖)。在一些實施例中,選擇接合部361與連接點246、247中之每一者之間的距離,以隨著處理期間的驅動,在RF功率遞送部件中之每一者內實現所期望的波圖案。
通常,RF源組件150中的RF產生器係配置成將RF功率(電壓及/或電流)供應至電極組件160部件。RF產生器351的輸出阻抗經由匹配網路355與加載的傳輸線系統190的阻抗匹配,而有效地將功率從RF產生器351轉移到加載的傳輸線系統190。因此,匹配網路355係配置成依據在處理期間從RF產生器提供的驅動頻率來提供所期望的前向及反射RF功率。在一些實施例中,在約50千赫茲(kHz)與約3千兆赫茲(GHz)之間的範圍內提供由RF產生器提供的RF功率。已經發現,在以大於或等於13.56MHz的頻率下施加的RF功率(例如,在VHF範圍(30MHz至300MHz)或UHF範圍(300MHz至3GHz)中提供的RF功率)的配置中,本文描述的電極組件配置特別有用。相較於較低RF頻率所提供者,VHF或UHF範圍中的較高頻率RF功率有利地用於在較低的驅動電壓下提供更高的電漿密度。使用較低的驅動電壓對於防止或最小化電弧放電的風險是重要的,因為在使用CCP源配置時的電漿密度增加,所以電弧放電造成處理腔室中所處理的基板的損傷。使用較低的驅動電壓亦可以在所產生的電漿中提供降低的離子能量及/或較窄的離子能量分佈,而可以在處理形成於基板表面上的某些半導體裝置結構期間,提供改善的處理結果與減少的基板損傷。
在操作期間,提供到連接點246、247中之每一者的RF功率造成RF波(電壓/及/或電流)在功率分佈元件161內從中心行進到邊緣,從邊緣行進到中心,以及從邊緣行進到邊緣。所傳輸的波係彼此相長及相消干涉,並在穩定狀態下在一些情況中可以在沿著功率分佈元件161以及沿著連接到功率分佈元件161的導電桿166的不同位置處產生具有峰值及谷值的駐波。在功率分佈元件161上以及沿著導電桿166的駐波的峰值與谷值的圖案係為電漿不均勻性與跨越蓋組件200的表面226的電漿密度的相對改變的重要因素。在一些應用中,藉由從單一源(例如,RF產生器351與匹配網路355)遞送RF功率而產生的干涉波圖案可以在導電桿166的尖端處提供可接受的功率分佈均勻性,而可以產生處理區域110內的可接受的電漿均勻性。然而,在其他應用中,電極組件160部件的物理配置可能不夠充分,因為波圖案的位置及振幅並不均勻,且不容易藉由調整遞送到電極組件160部件的RF功率的電特性或藉由物理電極組件160部件的更換及/或重新配置來改變。
第4B圖係為描繪包括第4A圖所示的RF產生器351與附加RF產生器357的RF源組件150的實施例的示意圖。RF產生器351與RF產生器357都配置成將RF功率提供至匹配網路355、連接元件154、155、及電極組件160。在一個實施例中,RF產生器357係配置成以與由RF產生器351所提供的RF功率的頻率不同的頻率遞送RF功率。匹配網路355係配置成在由二個RF產生器所提供的二個不同的RF頻率提供匹配。在一些實施例中,由RF產生器351與RF產生器357所提供的RF功率的頻率差異顯著不同(例如,間隔數十或數百兆赫茲)。在一個實例中,RF產生器351係配置成以13.56 MHz提供RF功率,而RF產生器357係配置成以2MHz提供RF功率。在一個實施例中,RF產生器351係配置成以第一UHF或VHF頻率提供RF功率,而RF產生器357係配置成以低於VHF頻率的頻率提供RF功率。在一個實例中,RF產生器351係配置成以60MHz提供RF功率,而RF產生器357係配置成以2MHz提供RF功率。在另一實例中,RF產生器351係配置成以162MHz提供RF功率,而RF產生器357係配置成以13.56 MHz提供RF功率。
第5A圖係為描繪用於驅動複數個分離電極165以提供處理區域110內的所期望電漿均勻性的RF源組件150的實施例的示意圖。此實施例中的RF遞送組件包括RF訊號產生器530、相位偏移器531、相位控制器520、第一RF產生器532與第二RF產生器533、具有虛擬負載475的第一三埠循環器471與具有虛擬負載476的第二三埠循環器472、第一匹配網路534、第二匹配網路535、及相位偵測器525。
在RF源組件150的此配置中,RF訊號產生器530係連接到相位偏移器531的輸入,以將相位偏移控制訊號供應至RF產生器中之至少一者(例如,第5A圖所示的RF產生器532)。相位偏移器531接收來自相位控制器520的控制訊號,以調整相位偏移訊號的相位。在一個實例中,針對特定硬體配置與電漿負載條件的單一固定的相位差選擇可以提供具有相關聯的不均勻性的可接受等級的駐波圖案與VSWR(電壓駐波比)。在一個實施例中,藉由調整由相位控制器320產生的控制訊號而隨著時間調製相位設定點,以形成均勻的駐波圖案以及較低的VSWR,而因此產生更可期望等級的電漿不均勻性以及所期望的處理結果。在一些配置中,藉由使用調製功能(例如,線性、非線性、時間加權函數)在下限與上限之間調製由相位控制器320產生的控制訊號,以更好地控制電漿不均勻性的等級與電漿處理結果。
三埠循環器471、472或循環器471、472中之每一者具有第一埠,第一埠分別從RF產生器532、533接收所遞送的RF功率,並將所接收的RF功率轉移到第二埠,第二埠將RF功率傳輸至匹配網路534、535。每一循環器的第三埠係連接至虛擬負載475、476,由第二埠接收的任何功率(例如,從相對的RF產生器接收的反射功率或功率)係提供到虛擬負載475、476。虛擬負載475、476包括具有期望阻抗的電阻、電感、及/或電容電路元件,而因此在一些情況下可以包括50Ω的負載(通常主要為電阻性)。
第一匹配網路534係經由連接元件155將從第一循環器471接收的RF功率傳輸到功率分佈元件161的中心附近的點。第二匹配網路535係經由連接元件154將從第二循環器472接收的RF功率傳輸到功率分佈元件161的邊緣。因此,第一與第二匹配網路534、535分別將RF產生器532、533以及第一及第二循環器471、472耦接到功率分佈元件161,並因此提供RF產生器532、533及與循環器471、472的阻抗與驅動負載(例如,阻抗365)之間的匹配。
第一及第二匹配網路534、535可以接收從電極組件160中的部件反射的功率。此反射功率中之一些者可以分別透過第一及第二匹配網路534、535而傳輸到第一循環器471及第二循環器472的第二埠。然後,此功率係由第一及第二循環器471、472中之每一者轉移到連接於每一循環器471、472的第三埠上的各別虛擬負載475、476。
相位偵測器525係連接於每一匹配網路534、535的輸出之間,而偵測每一匹配網路534、535的RF輸出(亦即,電流、電壓、或功率)之間的相位差。相位偵測器525可以包括經配置以直接測量從RF產生器532、533輸出的RF功率的相位差的偵測器。可替代地,相位偵測器525可以包括偵測組件,偵測組件具有單獨的感測器,經配置以偵測從RF產生器532、533提供的RF功率的相位,然後具有依據由單獨的感測器所提供的二個訊號來計算從RF產生器532、533輸出的RF功率的相對相位差的能力。表示所偵測的相位差的訊號係供應到相位控制器520,相位控制器520又將訊號提供到相位偏移器531的控制輸入,相位偏移器531將控制訊號提供到第一RF產生器532的輸入,以相對於從第二RF產生器533提供的RF功率而改變從第一RF產生器532提供的RF功率的相對相位。此舉使得由每一匹配網路534、535提供到功率分佈元件161的RF功率具有由相位偏移器531設定的相位差。
在操作中,施加到功率分佈元件161的邊緣的RF功率的相位係與施加到功率分佈元件161的近似中心的RF功率的相位不同。如上所述,從第一匹配網路534發射的RF波與從第二匹配網路535發射的RF波朝向彼此行進,並且產生相長及相消干涉,而導致可以視為處於穩定狀態的功率分佈元件161上的駐波。然而,在此實施例中,相位控制器520可以改變所偵測的二個波之間的相位差,藉此改變所產生的駐波的峰值及谷值在功率分佈元件161上的位置。藉由在電極組件160內的RF傳輸部件與導電桿166中之一或更多者內隨著時間選擇性定位峰值及谷值或改變峰值及谷值的位置,可以實現更均勻的電漿。
在一些實施例中,施加到功率分佈元件161的邊緣及近似中心的RF功率的相位可以藉由相位控制器520連續調製,而使得相較於由相位控制器提供的任何所選擇的相位設定或所選擇的相位設定組,在功率分佈元件161上提供的RF功率的時間平均值更均勻。在一個實施例中,調製速率係為100Hz,而在另一實施例中,速率係為10000Hz。在一個實例中,調製速率係設定於約1kHz與10kHz之間。
藉由修改施加到功率分佈元件的邊緣及近似中心的RF功率的幅度,可以額外控制功率分佈元件161上的駐波,而因此進一步改善電漿111及處理均勻性。在一些實施例中,跨越功率分佈元件161的故意不均勻的中心到邊緣的RF功率分佈可以用於特定相位或相位範圍。
第5B圖係為描繪RF源組件150的實施例的示意圖,其中附加RF產生器組件590已增加至第5A圖所示的RF遞送部件。附加RF產生器組件590可以耦接至連接元件154、155中之一者,而可以利用與其他所連接的RF產生器451及452所分別提供的頻率不同的頻率將RF功率附加提供到電極組件160。在一個實施例中,RF產生器組件590包括RF產生器592與匹配器593,匹配器593經配置以提供從RF產生器592遞送的RF頻率的匹配。在一個實例中,如第5B圖所示,RF產生器組件590係配置成以與由RF產生器451所提供的RF功率的頻率不同的頻率遞送RF功率。由RF產生器592與RF產生器451所提供的RF功率的頻率差異可以顯著不同(例如,間隔數十或約數百兆赫茲)。在一個實施例中,RF產生器451及452係配置成以13.56MHz提供RF功率,而RF產生器592係配置成以2MHz提供RF功率。在另一實施例中,RF產生器451、452係配置成以第一UHF或VHF頻率提供RF功率,而RF產生器592係配置成以低於VHF頻率的頻率提供RF功率。在一個實例中,RF產生器451、452係配置成以60MHz提供RF功率,而RF產生器592係配置成以2MHz提供RF功率。在另一實例中,RF產生器451、452係配置成以162MHz提供RF功率,而RF產生器592係配置成以13.56 MHz提供RF功率。為了避免可能損傷RF源組件150中的部件中之一或更多者,可以使用濾波器596及597(例如,高通濾波器),以將濾波器上游的任何部件與以從RF產生器組件590所遞送的頻率提供的RF功率隔離。
第6A圖描繪本文提供的本揭示的另一實施例中的用於驅動複數個分離電極的系統。此實施例包括第一及第二RF訊號產生器451、452,第一及第二循環器471、472(每一者具有虛擬負載475、476)、第一及第二匹配網路455、456、表示複數個分離電極165的經加載的傳輸線系統190、功率分佈元件161、及參考接地。
在此實施例中,第一RF產生器451係連接到第一循環器471的第一埠,而第一匹配網路455係連接到循環器的第二埠。第一匹配網路455將循環器471的第二埠與傳輸線系統190匹配。循環器471亦將任何反射或接收的RF功率轉移到連接至循環器472的第三埠的虛擬負載475。第二RF產生器452係連接到第二循環器472的第一埠,而循環器472的第二埠係連接到第二匹配網路456。第二匹配網路456將循環器472的第二埠與傳輸線系統190匹配。循環器472亦將任何反射或接收的RF功率轉移到連接至循環器472的第三埠的虛擬負載476。在一些實施例中,第二RF產生器452係以與由第一RF產生器451的頻率不同的頻率操作。在一個實例中,頻率差異係在約1kHz與10MHz之間(例如,在約0.01MHz與2MHz之間,或者甚至在約0.01MHz與0.5MHz之間,或者甚至在約1kHz與100kHz之間)。舉例而言,第一RF產生器451係以59.9MHz的頻率操作,而第二RF產生器452係以60.1MHz的頻率操作。
在操作中,第一匹配網路455經由連接元件155將RF功率傳輸到功率分佈元件161上的大致中心點。此舉建立RF波,其中第一頻率係從近似中心行進到功率分佈元件161的邊緣。隨著以第一頻率提供的RF波沿著功率分佈元件161行進,而透過導電桿166及電極屏蔽件168將其能量的一部分遞送到電漿111。所遞送功率的剩餘部分係轉移到第二匹配網路456。此時,通過第二匹配網路456的任何能量係提供至參考接地,而到達第二循環器472的第二埠的任何反射的RF功率係轉移到第三埠,而被連接到循環器472的第三埠的虛擬負載476吸收。類似於第一匹配網路,第二匹配網路456將RF功率傳輸到功率分佈元件161的邊緣。此舉建立具有第二頻率的RF波,而從功率分佈元件161的邊緣行進到其他邊緣,並將其功率的一部分遞送到電漿。然後,第二頻率的RF功率到達第一匹配網路455,而通過第一匹配網路455到達第一循環器471的第二埠的任何功率係轉移到其第三埠,而被其虛擬負載475吸收。
在此配置中,不同頻率的二個行進波在相反方向上橫穿電極組件160中的部件(例如,功率分佈元件161),而因此最小化相長及相消干涉。因此,認為藉由調整提供到電極組件160的不同部分的RF功率特性,將改善在處理區域110中產生的電漿111的均勻性。
在一些實施例中,第一行進波從第一RF產生器451發射,並通過循環器471與匹配網路455而至少部分傳輸(例如,未完全反射)到功率分佈元件161上的第一連接點中,並行進跨越功率分佈元件161。第一行進波將至少一些RF功率沉積到電漿,而RF功率的至少一部分(例如,未完全反射)流出功率分佈元件161上的第二連接點。在第二連接點處接收的RF功率的部分係至少部分傳輸到第二匹配網路456中,且部分被虛擬負載476的電阻吸收。類似地,從第二RF產生器452發射的第二行進波係通過循環器472與第二匹配網路456而至少部分傳輸(例如,未完全反射)到第二連接點中,並行進跨越功率分佈元件161。第二行進波將至少一些RF功率沉積到電漿,而RF功率的至少一部分(例如,未完全反射)流出第一連接點。在第一連接點處接收的RF功率的部分係提供到第一匹配網路455中,且部分被虛擬負載475的電阻吸收。因此,在本文提供的本揭示的一或更多個實施例中,這些類型的方法與硬體配置(例如,第5A圖至第7A圖)可以用於至少主要形成行進波,而不是用於形成駐波。
第6B圖係為描繪RF源組件150的實施例的示意圖,其中附加RF產生器組件620已增加至第6A圖所示的RF遞送部件。附加RF產生器組件620可以耦接至連接元件154、155中之一者,而可以利用與其他所連接的RF產生器451或452所提供的頻率不同的頻率將RF功率附加提供到電極組件160。在一個實施例中,RF組件620包括RF產生器622與匹配器621,匹配器621經配置以提供從RF產生器622遞送的RF頻率的匹配。由RF產生器622與RF產生器451及452所提供的RF功率的頻率差異可以顯著不同(例如,間隔數十或數百兆赫茲)。在一個實例中,RF產生器451及452係配置成利用大於或等於13.56MHz的頻率提供RF功率,而RF產生器622係配置成以2MHz提供RF功率。在一個實施例中,RF產生器451、452係配置成以第一及第二UHF或VHF頻率提供RF功率,而RF產生器622係配置成以低於VHF頻率的頻率提供RF功率。在一個實例中,RF產生器451、452係配置成以接近60MHz的頻率提供RF功率,而RF產生器622係配置成以2MHz提供RF功率。在另一實例中,RF產生器451、452係配置成以接近162MHz的頻率提供RF功率,而RF產生器622係配置成以13.56 MHz提供RF功率。為了避免可能損傷RF源組件150中的部件中之一或更多者,可以使用濾波器631及632(例如,高通濾波器),以將濾波器上游的任何部件與以從RF產生器組件620所遞送的頻率提供的RF功率隔離。
第7A圖描繪本文提供的本揭示的另一實施例中的用於驅動複數個分離電極的系統。此實施例包括RF產生器612、驅動部件617(包括具有虛擬負載615的循環器614與第一匹配網路611)、及電路元件618(包括具有虛擬負載616的第二匹配網路321)。RF產生器612將RF訊號提供到循環器614的第一埠,而循環器614的第二埠將功率提供到第一匹配網路611。第一匹配網路611的輸出係連接到功率分佈元件的邊緣161A,以將所傳輸的波提供到功率分佈元件161。第二匹配網路321係連接到功率分佈元件的另一邊緣161B,而終止於虛擬負載616。第二匹配網路321亦可以連接到功率分佈元件161的相對或不同的邊緣與中心之間的位置。
在操作中,第一匹配網路611在功率分佈元件161上從所連接的邊緣161A發射主要行進RF波。主要波在一個方向上橫穿功率分佈元件161而到另一邊緣161B,並到達第二匹配網路321。通過第二匹配網路321的任何功率都被虛擬負載616吸收。因此,由於第一匹配網路611與負載(例如,阻抗365)之間的阻抗匹配可能不完美,因此藉由使用附加匹配網路來減少或消除通常將反射到第一循環器471的第二埠中的功率。
在一些配置中,從RF產生器612發射的波係通過循環器614與第一匹配網路611而至少部分傳輸(例如,未完全反射)到功率分佈元件161的第一埠(例如,邊緣161A)中。然後,所傳輸的波行進跨越功率分佈元件161,而將所傳輸的RF功率中之至少一些者沉積到電漿中,並將RF功率中之至少一些者(例如,未完全反射)傳輸出功率分佈元件161的第二埠(亦即,邊緣161B)。傳輸出第二埠的RF功率至少部分傳輸到電路元件618中,並且部分被虛擬負載616的電阻吸收。由於使用將功率耦接到電漿的行進波而不是使用純粹的駐波(由更習知的RF遞送配置形成的二或更多個行進波的結果(例如,前向及反射波組合及干涉)),所以藉由使用第7A圖所示的電子部件(例如,二個相對的匹配網路)實現的此驅動方法可以提供改善的電漿均勻性。
第7B圖描繪包括功率分佈元件161的替代配置的蓋組件780的物理佈置的底視圖。在第7A圖中示意性圖示蓋組件780與電極組件160配置的替代形式。在此視圖中,功率分佈元件161的內邊緣161B與功率分佈元件161的外邊緣161A係相對於旋轉料架791的中心792以徑向定向來定位及對準,旋轉料架791係為基板支撐裝置,而經配置成隨著處理期間環繞中心792旋轉來將基板運輸經過蓋組件780。利用填充由蓋組件780的角度及徑向範圍所定義的扇形區域的圖案來分佈複數個分離電極165。儘管為了清楚起見未圖示,但是處理腔室壁(未圖示)係設置為與旋轉料架791的外邊緣同心,並圍繞旋轉料架791的外邊緣,因此,在此實例中,分離電極165的陣列相對於腔室壁或處理區域定義部件不對稱地定位。在處理期間,驅動部件617(第7A圖所示)接收來自RF產生器612的訊號,並施加到邊緣161A處的功率分佈元件161。訊號沿著功率分佈元件161行進,並在邊緣161B處由電路元件618(第7A圖所示)接收。在一些實施例中,如第7B圖所示,功率分佈元件161的邊緣161A與邊緣161B係定位而使得隨著基板環繞旋轉料架791的中心792旋轉,基板112的邊緣112A及相對邊緣112B將穿過蓋組件780與功率分佈部件下方。
第8A圖描繪本文提供的本揭示的另一實施例中的用於驅動複數個分離電極的另一系統配置。此實施例包括RF產生器612、驅動部件617、及電路元件810,驅動部件617包括具有可選擇的虛擬負載615的可選擇的循環器614與第一匹配網路611,而通過中心連接元件155耦接到功率分佈元件161,電路元件810通過四個連接元件154耦接於參考接地與功率分佈元件161之間。在一些配置中,電路元件810可以包括電阻器與電抗元件中之至少一者(例如,電感器或電容器)。在一個實例中,電路元件810包括電阻器、電感器、及電容器。在一些配置中,電路元件810包括第二匹配網路321與虛擬負載616。RF產生器612將RF訊號提供到循環器614的第一埠,而循環器614的第二埠將功率提供到第一匹配網路611。第一匹配網路611的輸出係連接到中心連接元件155,而中心連接元件155耦接到功率分佈元件161,以將RF功率(可以包括所傳輸的波)提供到功率分佈元件161的中心部分。電路元件810係連接到功率分佈元件的邊緣連接點中之至少一者。
在一種操作配置中,第一匹配網路611將主要行進RF波發射到功率分佈元件161的中心。主要波從功率分佈元件161的中心到邊緣以朝外方向橫穿功率分佈元件161,然後到達電路元件810。通過電路元件810的任何功率可以至少部分被設置其中的電阻元件(例如,虛擬負載616)吸收,以控制RF功率到處理區域的遞送。因此,由於第一匹配網路611與負載(例如,阻抗365)之間的阻抗匹配可能不完美,因此藉由使用電路元件810內的部件來減少或消除通常將反射到第一循環器614的第二埠中的功率。在可替代操作配置中,可以選擇電路元件810的阻抗,以影響該位置處的反射波振幅及/或相位,而使得前向行進波及反射行進波的組合互相組合以產生駐波,而沿著功率分佈元件161的駐波圖案係作用於複數個電極上以產生電漿均勻性輪廓。
第8B圖描繪用於驅動複數個分離電極165的另一系統配置。此實施例包括RF產生器612、驅動部件617、及電路元件810,驅動部件617包括具有可選擇的虛擬負載615的可選擇的循環器614與第一匹配網路611,而通過四個連接元件154中之至少一者耦接到功率分佈元件161,電路元件810通過中心連接元件155耦接於參考接地與功率分佈元件161之間。在一些配置中,如上所述,電路元件810可以包括電阻器與電抗元件中之至少一者(例如,電感器或電容器)。在處理期間,RF產生器612將RF訊號提供到循環器614的第一埠,而循環器614的第二埠將功率提供到第一匹配網路611。第一匹配網路611的輸出係連接到四個連接元件154,而四個連接元件155耦接到功率分佈元件,以將RF功率(可以包括所傳輸的波)提供到功率分佈元件161的邊緣部分。在此配置中,主要波從功率分佈元件161的邊緣到中心以朝內方向橫穿功率分佈元件161,然後傳輸到電路元件810。如上所述,通過電路元件810的任何功率可以至少部分被設置其中的電阻元件(例如,虛擬負載616)吸收,以控制RF功率到處理區域的遞送。在可替代操作配置中,可以選擇電路元件810的阻抗,以影響該位置處的反射波振幅及/或相位,而使得前向行進波及反射行進波的組合互相組合以產生駐波,而沿著功率分佈元件161的駐波圖案係作用於複數個電極上以產生電漿均勻性輪廓。
因此,本揭示的實施例可以提供上腔室組件,而包括蓋組件200、電極組件160、RF源組件150、流體源組件180、支撐RF源組件460、及下腔室組件30,並可互相操作而由於將RF功率受控及/或均勻遞送到引入處理腔室的處理區域的處理氣體,以形成具有所期望電漿性質(例如,離解度、氣體組成、自由基密度或通量、電漿離子密度、電子密度、電子溫度、離子能量分佈等)的電漿。經由上面提供的本揭示的實施例中之一或更多者,藉由控制由分離電極165陣列提供到處理區域的RF功率的均勻性,並控制處理區域中的處理氣體組成物及氣體性質(例如,壓力、流動速率、通量等),而實現具有所期望電漿性質的電漿。
第9圖係為類似於第2A圖所示的配置並如上所述的蓋組件200與電極組件160的部分的側剖面圖。電極組件160包括複數個分離電極165,複數個分離電極165中之每一者透過一或更多個功率分佈元件161耦接到RF源組件150。然而,第9圖所示的處理腔室100包括耦接於偏壓電極464與參考接地之間的基板支撐電路元件910。在一些配置中,基板支撐電路元件910可以包括電阻器與電抗元件中之至少一者(例如,電感器或電容器)。在一個實例中,電路元件910包括電阻器、電感器、及電容器。在一些配置中,電路元件910包括第二匹配網路321與虛擬負載616。因此,電路元件910及電極464可以與分離電極165一起使用,以形成及/或調整處理區域110所形成的電漿111的性質。可以藉由調整電路元件910中的電路部件中之一或更多者的阻抗、相對於分離電極165的基板112的位置、及/或提供到分離電極165的RF功率,而調整通過電極464流到參考接地的RF功率,以控制所形成的電漿(例如,自由基及/或離子相互作用的量)與基板112的暴露表面的相互作用。在有利於最小化影響基板112的暴露表面的離子能量的一個應用中,分離電極165的表面區域A1的總和與參考電極元件表面區域A2的比率係選擇為接近1(亦即,0.8與1.2之間,或較佳為約0.9與1.1之間),並藉由選擇電路元件910的值,而最大化從基板112返回通過電極464到參考接地的產生器頻率處的阻抗。在一個配置中,電路元件910係為濾波器電路。在替代配置中,電路元件910係為開關或中繼器,而在電漿處理的某些步驟期間打開,並在其他步驟期間關閉。儘管電極464在第9圖係示意性圖示為單一線段,但應理解,電極464可包含一或更多層電極、加熱元件、溫度感測器、或其他導電材料。
在一些實施例中,處理腔室100亦可以包括RF切換裝置469,而設置於電極464與電路元件910之間。RF切換裝置469可以包括在打開狀態具有高阻抗以及在關閉狀態具有低阻抗的RF同軸真空中繼器。RF切換裝置469可以用於將基板112與接地電隔離,以最小化或基本上消除電漿處理的一或更多個部分期間的電漿111中所產生的離子對基板表面的轟擊。電漿所產生的離子對基板表面的轟擊可能對基板表面的部分造成不希望的損傷。在基板112上執行的電漿處理的至少一部分期間,RF切換裝置469係設定為打開狀態,以將電極464與接地電隔離。當RF切換裝置處於打開狀態時,RF切換裝置的高阻抗電特性(例如,在基本RF驅動頻率及其諧波系列(例如,至少第二及第三諧波)下的大於100歐姆的阻抗)係允許基板112與電極464基本上電浮動。一個合適的示例性RF切換裝置及RF同軸中繼器係具有跨越3pF的觸點的開態電容,而在40.68MHz的RF產生器驅動頻率下具有超過1200歐姆的阻抗(例如,電容電抗)。
在一些實施例中,在處理期間,相對於參考電極225B將順序脈衝的RF偏壓提供到分離電極165,以在處理區域110中產生電漿111。脈衝RF偏壓可以包括RF「開」狀態及RF「關」狀態,每一者具有所期望的持續時間。在一個電漿處理實例中,當脈衝RF偏壓處於RF「開」狀態時(例如,將RF能量施加到電極組件160中的分離電極165),RF切換裝置469係控制成打開狀態。在替代實例中,在RF「開」狀態脈衝的一部分期間,RF切換裝置469係控制成打開狀態,以減少但基本上不消除在基板的電漿處理期間的基板表面的離子轟擊。
在一些實施例中,處理腔室100可附加地包括一或更多個附加RF切換裝置,而分別耦接到在電子元件(例如,基座加熱器元件(未圖示)、熱電偶(未圖示)等)之間形成的附加電連接中之每一者,並耦接到基座120及其外部電子部件(例如,功率供應器(未圖示)、熱電偶板(未圖示)等)。在此配置中,在基板112上執行的電漿處理的至少一部分期間,可以將一或更多個附加RF切換裝置設定成打開狀態,以在處理期間允許支撐組件115的基座120與接地基本上電隔離。因此,在一個電漿處理實例中,當脈衝RF偏壓處於RF「開」狀態時,RF切換裝置469與所有附加RF切換裝置係控制成打開狀態。在另一實例中,在RF「開」狀態脈衝的一部分期間,RF切換裝置469與所有附加RF切換裝置係控制成打開狀態,以減少但基本上不消除基板表面的離子轟擊。
在一些實施例中,處理腔室100可以包括一或更多個RF濾波器,以用於防止耦接到支撐組件115的基座120的部分的電子元件(例如,基座加熱器元件、熱電偶等)使支撐組件115電接地。
在一個實例中,藉由使用在氣體源243與下腔室組件30部件中之一或更多者中的一或更多種氣體遞送部件,將一或更多種處理氣體從蓋組件200中所形成的複數個分佈通道283提供進入處理腔室100的處理區域110中,處理腔室100的處理區域110係維持在真空壓力下(例如,0.1毫托至200Torr)。在一些配置中,處理腔室100係維持在0.1mTorr與100mTorr之間的真空壓力下。一或更多種處理氣體包括能夠在處理期間完成設置於支撐組件115的表面上的基板112上所執行的所期望電漿處理的氣體,並且可以包括沉積處理氣體(例如,前驅物氣體及氣體載體)或蝕刻處理氣體(例如,乾式蝕刻氣體及氣體載體)。亦可以藉由使用上述溫度控制系統來控制蓋組件200部件的溫度,而調整提供進入處理區域110的處理氣體的溫度。經由以上提供的本揭示的實施例中之一或更多者,一旦在處理區域110中實現所期望的氣體流動速率、氣體壓力、及/或流量分佈,則RF源組件150中的部件係用於控制提供到陣列分離電極165的RF功率的均勻性。根據本文揭示的各種實施例,相對於參考電極225B(例如,參考電極元件225A、腔室壁、及/或交錯的接地導電桿166)而提供到分離電極165的RF偏壓係在處理區域110中產生電漿111,並藉由在功率分佈元件161與分離電極165上所提供的RF波的相位控制、幅度控制、及/或頻率控制來增強電漿的均勻性。如上面結合第2G圖至第2H圖所討論,在處理腔室壁(例如,腔室側壁405、腔室底板410)不對稱地定位於分離電極165與蓋組件200周圍的配置中(例如,第7B圖所示的處理腔室配置),認為將參考電極元件225A定位於分離電極165附近可以跨越蓋組件200的表面226提供改善的電漿均勻性。在一些實施例中,分離電極165的陣列的配置與分離電極165的端部的距離係設置於蓋組件200的表面226上方或下方,及/或亦選擇或調整參考電極元件225A,以進一步改善所產生的電漿性質。以此方式,可以更好地控制所得到的電漿密度及均勻性,以及自由基的產生及密度。
儘管前述係關於本發明之實施例,本揭示之其他及進一步實施例可在不脫離本揭示之基本範疇的情況下擬出,且本揭示之範疇係由下列申請專利範圍所決定。
20‧‧‧上腔室組件
30‧‧‧下腔室組件
50‧‧‧系統控制器
100‧‧‧處理腔室
105‧‧‧腔室主體
107‧‧‧腔室襯墊
107B‧‧‧下環狀柵格
110‧‧‧處理區域
111‧‧‧電漿
112‧‧‧基板
112A‧‧‧邊緣
112B‧‧‧邊緣
115‧‧‧支撐組件
120‧‧‧台座
125A‧‧‧區域
125B‧‧‧區域
125C‧‧‧區域
130‧‧‧上表面
140‧‧‧屏蔽結構
141‧‧‧中心饋送導管
142‧‧‧分佈式饋送導管
143‧‧‧饋送導管
145‧‧‧饋送導管
146‧‧‧下中心饋送導管
150‧‧‧RF源組件
151‧‧‧頂部功率分佈元件
152‧‧‧連接元件
153‧‧‧連接元件
154‧‧‧連接元件
155‧‧‧中心連接元件
156‧‧‧密封件
160‧‧‧電極組件
161‧‧‧功率分佈元件
161A‧‧‧邊緣
161B‧‧‧邊緣
162‧‧‧氣體導管
165‧‧‧分離電極
166‧‧‧導電桿
166A‧‧‧電極端部
167‧‧‧連接點
168‧‧‧電極屏蔽
168A‧‧‧屏蔽尖端
169‧‧‧O形環
176‧‧‧電磁體
177‧‧‧磁體源
180‧‧‧流體源組件
184‧‧‧接地板
190‧‧‧加載傳輸線系統
199‧‧‧角度
200‧‧‧蓋組件
201‧‧‧主體
210‧‧‧下板
210A‧‧‧孔洞
211‧‧‧插入長度
212‧‧‧支撐板
213‧‧‧電極對基板間隔
214‧‧‧上板
218‧‧‧絕緣構件
225‧‧‧穿孔面板
225A‧‧‧參考電極元件
226‧‧‧表面
229‧‧‧內邊緣
243‧‧‧氣體源
244‧‧‧結合層
246‧‧‧中心連接點
247‧‧‧邊緣連接點
248‧‧‧導電腿
248A‧‧‧分支元件
248B‧‧‧間隙
250A‧‧‧熱控制導管
250B‧‧‧熱控制導管
261‧‧‧導電板
262‧‧‧狹槽
265A‧‧‧區域
265B‧‧‧區域
265C‧‧‧區域
265D‧‧‧區域
271‧‧‧上屏蔽部分
272‧‧‧側壁
273‧‧‧尖端部分
274‧‧‧內部部分
275‧‧‧底部表面
276‧‧‧內側壁
278‧‧‧屏蔽間隙
279‧‧‧距離
280‧‧‧彈簧組件
282‧‧‧開口
283‧‧‧分佈通道
286‧‧‧距離
287‧‧‧電極間隙
288‧‧‧邊緣
290‧‧‧導電尖端
291‧‧‧下表面
292‧‧‧下彎曲區域
293‧‧‧側壁
294‧‧‧插座
295‧‧‧成形邊緣
296‧‧‧下側壁
297‧‧‧成形上區域
298‧‧‧連接區域
330‧‧‧連接組件
331‧‧‧分佈板
332‧‧‧連接特徵
335‧‧‧距離
341‧‧‧導電連接元件
351‧‧‧RF產生器
355‧‧‧匹配網路
357‧‧‧附加RF產生器
361‧‧‧接合部
363‧‧‧連接點
365‧‧‧阻抗
405‧‧‧腔室側壁
410‧‧‧腔室底板
410a‧‧‧真空泵開口
411‧‧‧排空區域
415‧‧‧容納壁
419‧‧‧中央空間
420‧‧‧徑向支柱
421‧‧‧徑向進出通路
430‧‧‧下腔室區域
440‧‧‧泵
450‧‧‧提升致動器
451‧‧‧RF產生器
452‧‧‧RF產生器
455‧‧‧第一匹配網路
456‧‧‧第二匹配網路
460‧‧‧支撐RF源組件
461‧‧‧RF功率供應器
462‧‧‧RF匹配
464‧‧‧電極
469‧‧‧切換裝置
471‧‧‧循環器
472‧‧‧循環器
475‧‧‧虛擬負載
476‧‧‧虛擬負載
520‧‧‧相位控制器
525‧‧‧相位偵測器
530‧‧‧RF訊號產生器
531‧‧‧相位偏移器
532‧‧‧第一RF產生器
533‧‧‧第二RF產生器
534‧‧‧第一匹配網路
535‧‧‧第二匹配網路
590‧‧‧附加RF產生器組件
592‧‧‧RF產生器
593‧‧‧匹配器
596‧‧‧濾波器
597‧‧‧濾波器
611‧‧‧第一匹配網路
612‧‧‧RF產生器
614‧‧‧循環器
615‧‧‧虛擬負載
616‧‧‧虛擬負載
617‧‧‧驅動部件
618‧‧‧電路元件
620‧‧‧附加RF產生器組件
621‧‧‧匹配器
622‧‧‧RF產生器
631‧‧‧濾波器
632‧‧‧濾波器
780‧‧‧蓋組件
791‧‧‧旋轉料架
792‧‧‧中心
810‧‧‧電路元件
910‧‧‧電路元件
為使本揭示的上述特徵可詳細地被理解,本揭示(簡短概要如上)的更特定描述可參照實施例而得,該等實施例之一些係繪示於隨附圖式中。然而,應注意隨附圖式僅圖示本揭示之典型實施例,而非視為限定本揭示的保護範疇,本揭示可接納其他等效實施例。
第1A圖係為根據本文提供的本揭示的實施例的說明性處理腔室的橫截面圖。
第1B圖係為根據本文提供的本揭示的實施例的從第1A圖所示的橫截線段觀察的下腔室組件的一部分的橫截面圖。
第2A圖係為根據本文提供的本揭示的實施例的第1A圖所示的上腔室組件的一部分的示意性側剖面圖。
第2B圖係為根據本文提供的本揭示的實施例的第2A圖所示的蓋組件的一部分的側剖面圖。
第2C1圖係為根據本文提供的本揭示的實施例的第2A圖所示的電極組件的一個配置的平面圖。
第2C2圖係為根據本文提供的本揭示的實施例的第2A圖所示的電極組件的另一配置的平面圖。
第2D圖係為根據本文提供的本揭示的實施例的上腔室組件的蓋組件的一部分的底視圖。
第2E圖係為根據本文提供的本揭示的實施例的上腔室組件的不同配置的蓋組件的一部分的底視圖。
第2F圖係為根據本文提供的本揭示的實施例的上腔室組件的不同配置的蓋組件的一部分的另一底視圖。
第2G圖係為根據本文提供的本揭示的實施例的示意性圖示參考電極元件與分離電極陣列的關係的上腔室組件的蓋組件的一部分的底視圖。
第2H圖係為根據本文提供的本揭示的實施例的包括分離電極的局部橫截面圖的蓋組件的一部分的底視圖。
第2I圖係為根據本文提供的本揭示的實施例的第2B圖所示的分離電極的一部分的示意性側剖面圖。
第2J圖係為根據本文提供的本揭示的實施例的第2B圖所示的分離電極的一部分的示意性側剖面圖。
第2K圖係為根據本文提供的本揭示的實施例的第2J圖所示的分離電極的一部分的側剖面圖。
第2L圖係為根據本文提供的本揭示的實施例的第2J圖所示的分離電極的一部分的側剖面圖。
第2M圖係為根據本文提供的本揭示的實施例的第2J圖所示的分離電極的一部分的側剖面圖。
第2N圖係為根據本文提供的本揭示的實施例的示意性圖示參考電極元件與分離電極陣列的關係的上腔室組件的蓋組件的一部分的底視圖。
第3A圖係為根據本文提供的本揭示的實施例的蓋組件的一部分的示意性側剖面圖。
第3B圖係為根據本文提供的本揭示的實施例的蓋組件的一部分的示意性側剖面圖。
第4A圖係為描繪根據本文提供的本揭示的實施例的用於驅動複數個分離電極的系統的示意圖。
第4B圖係為描繪根據本文提供的本揭示的實施例的用於使用二個RF功率源驅動複數個分離電極的系統的示意圖。
第5A圖係為描繪根據本文提供的本揭示的實施例的用於驅動複數個分離電極的系統的示意圖。
第5B圖係為描繪根據本文提供的本揭示的實施例的用於使用三個RF功率源驅動複數個分離電極的系統的示意圖。
第6A圖係為描繪根據本文提供的本揭示的實施例的用於驅動複數個分離電極的系統的示意圖。
第6B圖係為描繪根據本文提供的本揭示的實施例的用於使用三個RF功率源驅動複數個分離電極的系統的示意圖。
第7A圖係為描繪根據本文提供的本揭示的實施例的用於驅動複數個電極的系統的示意圖。
第7B圖係描繪根據本文提供的本揭示的實施例的第7A圖所示的功率分佈元件的物理佈置。
第8A圖係為描繪根據本文提供的本揭示的實施例的用於驅動複數個分離電極的系統的示意圖。
第8B圖係為描繪根據本文提供的本揭示的實施例的用於驅動複數個分離電極的系統的示意圖。
第9圖係為根據本文提供的本揭示的實施例的第1A圖所示的上腔室組件的一部分的示意性側剖面圖。
為促進理解,各圖中相同的元件符號儘可能指定相同的元件。預期一個實施例所揭示的元件可以有利地用於其他實施例上,在此不具體詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (21)

  1. 一種電漿源組件,包含: 一參考電極,具有一電極表面,其中該電極表面具有在一中心點處與該電極表面基本垂直的一中心軸線;以及 一分離電極陣列,以佈置在基本平行於一第一平面的至少二個非平行方向上的一圖案佈置,該第一平面係定向於基本垂直於該中心軸線的一定向,其中 該等分離電極中之每一者具有基本平行對準以大於零的一角度與該第一平面定向的一第一方向的一長度,以及 該等分離電極中之每一者包括設置成距離該電極表面一距離的一端部,其中在基本垂直於該第一平面的一方向上測量該距離。
  2. 如請求項1所述之電漿源組件,其中該分離電極陣列中的該等分離電極中之每一者的至少一部分延伸通過一開口,該開口係通過該參考電極而形成。
  3. 如請求項2所述之電漿源組件,其中該參考電極的至少一部分圍繞該分離電極陣列中的該等分離電極中之每一者。
  4. 如請求項1所述之電漿源組件,其中: 該等分離電極中之每一者具有一外表面,該外表面具有一分離電極表面區域,該分離電極表面區域包含設置於從該分離電極的該端部到該參考電極的該電極表面的該外表面的一區域; 該電極表面具有一參考電極表面區域;以及 所有該等分離電極表面區域之總和與該參考電極表面區域的一比率係在0.8與1.2之間。
  5. 如請求項1所述之電漿源組件,其中該電極表面是平面的。
  6. 如請求項1所述之電漿源組件,進一步包含: 一穿孔板,具有通過該穿孔板的一穿孔表面而形成的複數個開口,其中該等複數個開口係以配置成在將一氣體遞送通過該等複數個開口時提供跨越該穿孔表面的一所期望氣體流動分佈的一圖案來佈置, 其中該電極表面基本平行於該穿孔板的該穿孔表面。
  7. 如請求項6所述之電漿源組件,其中該穿孔板包含選自矽、碳化矽、氧化鋁、氧化釔、氧化鋯、氮化矽、石墨、氮化鋁、及二氧化矽所組成的一群組的一材料。
  8. 如請求項1所述之電漿源組件,其中該等分離電極端部中之每一者的該距離係在約-10mm與約20mm之間。
  9. 如請求項1所述之電漿源組件,其中該分離電極陣列內的該等分離電極中之每一者包含設置於一電極屏蔽內的一導電桿。
  10. 如請求項9所述之電漿源組件,其中該電極屏蔽包含選自藍寶石、矽、碳化矽、氧化鋁、氧化釔、氧化鋯、氮化鋁、及二氧化矽所組成的一群組的一介電或半導電材料。
  11. 如請求項1所述之電漿源組件,其中該分離電極陣列內的該等分離電極中之每一者包含設置於包含一介電或半導電材料的一電極屏蔽內的一導電桿,且其中: 該等分離電極中之每一者中的該電極屏蔽的一外表面的一部分定義該分離電極的該端部;以及 該等分離電極端部中之每一者的該距離係在約-10mm與約20mm之間。
  12. 如請求項1所述之電漿源組件,其中該分離電極陣列內的該等分離電極中之每一者包含設置於一電極屏蔽內並耦接至一功率分佈板的一導電桿,且其中該電漿源進一步包含: 一RF分佈系統,藉由將RF功率遞送到該功率分佈板的一表面上的至少二個不同點,而將RF功率傳送到該等導電桿中之每一者。
  13. 一種電漿源組件,包含: 一參考電極,具有一電極表面,其中該參考電極係耦接至一接地; 一功率分佈元件,連接到該等複數個分離電極,其中該等分離電極係以分佈在平行於該電漿源組件的一外表面的至少二個非平行方向上的一圖案佈置,而該等分離電極中之每一者包括在基本垂直於該外表面的一方向上設置成與該外表面距離一第一距離的一端部; 一RF訊號產生器,經配置以將RF功率提供至該功率分佈元件上的一第一連接點;以及 一電路元件,包含一或更多個電抗元件,並經配置以允許一電流從該功率分佈元件上的一第二連接點流動到該接地。
  14. 如請求項13所述之電漿源組件,其中該RF訊號產生器係配置成以一第一頻率產生RF功率,而該電漿源組件進一步包含: 一台座,具有用於在處理期間支撐一基板的一基板支撐表面,其中該基板支撐表面具有在該第一頻率下對於接地的大於100歐姆的一電阻抗大小。
  15. 如請求項14所述之電漿源組件,其中: 該等分離電極中之每一者具有一外表面,該外表面具有一分離電極表面區域,該分離電極表面區域包含設置於從該分離電極的該端部到該參考電極的該電極表面的該外表面的一區域; 該電極表面具有一參考電極表面區域;以及 所有該等分離電極表面區域之總和與該參考電極表面區域的一比率係在0.8與1.2之間。
  16. 如請求項13所述之電漿源組件,其中該分離電極陣列內的該等分離電極中之每一者包含一導電桿,該導電桿係設置於包含電極屏蔽的一介電或半導電材料內,而該等導電桿中之每一者具有沿著基本垂直於該表面的該方向而對準的一長度。
  17. 一種電漿源組件,包含: 一參考電極,具有一電極表面,其中該電極表面具有在一中心點處與該電極表面垂直的一中心軸線;以及 一分離電極陣列,以平行於與該中心軸線垂直的一第一平面的一間隔開的圖案佈置,其中 該等分離電極中之每一者包含一導電桿,該導電桿具有一第一端部、一第二端部、及設置於該第一端部與該第二端部之間的一主體,其中該主體係平行於一第一方向而對準,該第一方向係以大於零的一角度與該第一平面定向,以及 該等分離電極中之每一者包括設置成距離該電極表面一距離的一端部,其中在基本垂直於該第一平面的一方向上測量該距離。
  18. 如請求項17所述之電漿源組件,其中該分離電極陣列中的該等分離電極中之每一者的至少一部分延伸通過一開口,該開口係通過該參考電極而形成。
  19. 如請求項18所述之電漿源組件,其中該參考電極的至少一部分圍繞該分離電極陣列中的該等分離電極中之每一者。
  20. 如請求項17所述之電漿源組件,進一步包含耦接到該等分離電極的一功率分佈元件,其中該功率分佈元件係為一導電板,而具有通過該導電板而形成的複數個狹槽,其中該等複數個狹槽經配置以限制電流在該導電板的相鄰區域之間流動。
  21. 如請求項16所述之電漿源組件,其中該分離電極陣列內的該等分離電極中之每一者包含設置於包含一介電或半導電材料的一電極屏蔽內的一導電桿,且其中: 該等分離電極中之每一者中的該電極屏蔽的一外表面的一部分具有一端部; 該電極屏蔽的該端部係設置成距離該電極表面一距離,其中在基本垂直於該第一平面的一方向上測量該距離;以及 該等電極屏蔽的該等端部中之每一者的該距離係在約-10mm與約20mm之間。
TW107126405A 2017-08-10 2018-07-31 用於電漿處理的分佈式電極陣列 TWI788390B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762543769P 2017-08-10 2017-08-10
US62/543,769 2017-08-10

Publications (2)

Publication Number Publication Date
TW201911974A true TW201911974A (zh) 2019-03-16
TWI788390B TWI788390B (zh) 2023-01-01

Family

ID=65271600

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107126405A TWI788390B (zh) 2017-08-10 2018-07-31 用於電漿處理的分佈式電極陣列

Country Status (3)

Country Link
US (4) US10312056B2 (zh)
TW (1) TWI788390B (zh)
WO (1) WO2019032787A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797646B (zh) * 2020-07-02 2023-04-01 大陸商中微半導體設備(上海)股份有限公司 等離子體處理裝置及其絕緣窗元件
TWI798558B (zh) * 2019-06-11 2023-04-11 大陸商中微半導體設備(上海)股份有限公司 絕緣材料窗及其製造方法以及電感耦合電漿處理裝置
TWI816052B (zh) * 2019-09-27 2023-09-21 美商應用材料股份有限公司 用於源組件的殼體及包括此殼體的組件

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
TWI758589B (zh) 2018-03-01 2022-03-21 美商應用材料股份有限公司 電漿源組件和提供電漿的方法
KR102531814B1 (ko) * 2018-05-02 2023-05-11 도쿄엘렉트론가부시키가이샤 상부 전극 및 플라즈마 처리 장치
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
KR102231371B1 (ko) * 2020-01-29 2021-03-25 주식회사 피에스엠 콜드 플라즈마 발생장치 및 이를 포함하는 다중 콜드 플라즈마 어레이 장치
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11887884B2 (en) * 2020-10-16 2024-01-30 Applied Materials, Inc. Pre-loaded bowl mechanism for providing a symmetric radio frequency return path
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
TW202247711A (zh) * 2021-04-29 2022-12-01 美商應用材料股份有限公司 用於空間電漿增強原子層沉積(pe-ald)處理工具的微波電漿源
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
CN114551194B (zh) * 2022-02-18 2024-02-06 四川大学 一种等离子体刻蚀装置
CN114521040A (zh) * 2022-02-21 2022-05-20 中国科学院光电技术研究所 一种电场分布可调的低温等离子体发生装置

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
JP3544136B2 (ja) 1998-02-26 2004-07-21 キヤノン株式会社 プラズマ処理装置及びプラズマ処理方法
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
JP3332857B2 (ja) 1998-04-15 2002-10-07 三菱重工業株式会社 高周波プラズマ発生装置及び給電方法
US6474258B2 (en) * 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6199506B1 (en) * 1999-06-30 2001-03-13 Novellus Systems, Inc. Radio frequency supply circuit for in situ cleaning of plasma-enhanced chemical vapor deposition chamber using NF3 or NF3/He mixture
TW507256B (en) 2000-03-13 2002-10-21 Mitsubishi Heavy Ind Ltd Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus
EP1146569B1 (en) 2000-04-13 2008-05-21 National Institute of Advanced Industrial Science and Technology Thin film forming method, thin film forming apparatus and solar cell
ATE452219T1 (de) 2000-05-17 2010-01-15 Ihi Corp Plasma-cvd-vorrichtung und verfahren
JP2002198355A (ja) * 2000-12-26 2002-07-12 Tokyo Electron Ltd プラズマ処理装置
US6764658B2 (en) 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
JP3872363B2 (ja) 2002-03-12 2007-01-24 京セラ株式会社 Cat−PECVD法
ES2367752T3 (es) 2002-10-29 2011-11-08 Mitsubishi Heavy Industries, Ltd. Procedimiento y dispositivo para generar plasma uniforme de alta frecuencia sobre un area de gran superficie.
JP3902113B2 (ja) 2002-10-31 2007-04-04 三菱重工業株式会社 プラズマ化学蒸着方法
US20050067934A1 (en) 2003-09-26 2005-03-31 Ishikawajima-Harima Heavy Industries Co., Ltd. Discharge apparatus, plasma processing method and solar cell
JP4676189B2 (ja) * 2004-11-02 2011-04-27 東京エレクトロン株式会社 高周波給電装置及びプラズマ処理装置
JP2008047938A (ja) 2007-10-17 2008-02-28 Masayoshi Murata 高周波プラズマcvd装置と高周波プラズマcvd法及び半導体薄膜製造法。
FR2922696B1 (fr) 2007-10-22 2010-03-12 St Microelectronics Sa Resonateur a ondes de lamb
US8607733B2 (en) 2008-02-18 2013-12-17 Mitsui Engineering & Shipbuilding Co., Ltd. Atomic layer deposition apparatus and atomic layer deposition method
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR100938782B1 (ko) 2009-07-06 2010-01-27 주식회사 테스 플라즈마 발생용 전극 및 플라즈마 발생장치
US9396900B2 (en) 2011-11-16 2016-07-19 Tokyo Electron Limited Radio frequency (RF) power coupling system utilizing multiple RF power coupling elements for control of plasma properties
DE102012103425A1 (de) 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
US20140299273A1 (en) * 2013-04-08 2014-10-09 Lam Research Corporation Multi-segment electrode assembly and methods therefor
US9419583B2 (en) 2013-04-22 2016-08-16 Northeastern University Nano- and micro-electromechanical resonators
US9355821B2 (en) 2013-06-19 2016-05-31 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan Large-area plasma generating apparatus
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
CN103917035B (zh) * 2014-04-03 2017-04-19 华中科技大学 用非平衡等离子体处理颗粒和气体物质的装置
JP2016083658A (ja) * 2014-10-24 2016-05-19 パナソニックIpマネジメント株式会社 プラズマ生成装置
WO2016108568A1 (ko) * 2014-12-31 2016-07-07 (주)젠 플라즈마 처리장치
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798558B (zh) * 2019-06-11 2023-04-11 大陸商中微半導體設備(上海)股份有限公司 絕緣材料窗及其製造方法以及電感耦合電漿處理裝置
TWI816052B (zh) * 2019-09-27 2023-09-21 美商應用材料股份有限公司 用於源組件的殼體及包括此殼體的組件
TWI797646B (zh) * 2020-07-02 2023-04-01 大陸商中微半導體設備(上海)股份有限公司 等離子體處理裝置及其絕緣窗元件

Also Published As

Publication number Publication date
US10312056B2 (en) 2019-06-04
US10418225B2 (en) 2019-09-17
US10373807B2 (en) 2019-08-06
TWI788390B (zh) 2023-01-01
US10615004B2 (en) 2020-04-07
US20190057841A1 (en) 2019-02-21
US20190051496A1 (en) 2019-02-14
US20190057840A1 (en) 2019-02-21
US20190287765A1 (en) 2019-09-19
WO2019032787A1 (en) 2019-02-14

Similar Documents

Publication Publication Date Title
US10615004B2 (en) Distributed electrode array for plasma processing
US10431434B2 (en) Powered grid for plasma chamber
US20180190501A1 (en) Plasma processing apparatus
CN107710378B (zh) 多电极基板支撑组件与相位控制系统
TWI762551B (zh) 電漿處理裝置
KR102614990B1 (ko) 플라즈마 처리 장치 및 제어 방법
JP2018190978A (ja) 対称的な給電構造を有する補助電極を用いてプラズマ処理チャンバ内のウェハエッジシースを変調する方法および受動的な方法で動作するとき制御可能なインピーダンスを接地し、能動的に電力を供給するときプラズマへの対称rf電力入力を可能とするドライブ
TWI734185B (zh) 電漿處理裝置
JP3814176B2 (ja) プラズマ処理装置
JP2023545445A (ja) マルチメッシュ処理チャンバ用プッシュプル電源
KR20210039288A (ko) 탑재대 및 플라즈마 처리 장치
TWI738309B (zh) 電漿處理裝置
JP2022007865A (ja) エッチング装置及びエッチング方法
WO2023175690A1 (ja) プラズマ処理装置
US20230056323A1 (en) Plasma processing apparatus and etching method
JP2023550342A (ja) 静磁場を使用するプラズマ一様性制御
CN115398602A (zh) 等离子处理装置以及等离子处理方法