TW201911503A - 半導體封裝 - Google Patents

半導體封裝 Download PDF

Info

Publication number
TW201911503A
TW201911503A TW106142899A TW106142899A TW201911503A TW 201911503 A TW201911503 A TW 201911503A TW 106142899 A TW106142899 A TW 106142899A TW 106142899 A TW106142899 A TW 106142899A TW 201911503 A TW201911503 A TW 201911503A
Authority
TW
Taiwan
Prior art keywords
integrated circuit
photonic integrated
photonic
optical
rewiring structure
Prior art date
Application number
TW106142899A
Other languages
English (en)
Inventor
王垂堂
謝政憲
夏興國
余振華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201911503A publication Critical patent/TW201911503A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4246Bidirectionally operating package structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4274Electrical aspects
    • G02B6/428Electrical aspects containing printed circuit boards [PCB]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12121Laser
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/0601Structure
    • H01L2224/0603Bonding areas having different sizes, e.g. different heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Optical Integrated Circuits (AREA)

Abstract

本發明實施例提供一種半導體封裝。所述半導體封裝包括封裝基底、光子積體電路、雷射晶粒、電子積體電路、及第一重佈線結構。所述封裝基底包括連接件。所述光子積體電路設置在所述封裝基底之上。所述雷射晶粒在光學上耦合到所述光子積體電路。所述電子積體電路設置在所述封裝基底之上。所述第一重佈線結構設置在所述封裝基底之上,其中所述電子積體電路通過所述第一重佈線結構電連接到所述光子積體電路。

Description

半導體封裝
本發明實施例是有關於一種半導體封裝。
光學訊號可用於兩個裝置之間的高速且安全的資料傳輸。在一些應用中,能夠進行光學資料傳輸的裝置包括具有用於傳輸及/或接收光學訊號的雷射晶粒(laser die)的至少一個積體電路(integrated circuit,IC)(或“晶片”)。此外,裝置通常具有一個或多個其他光學元件或電元件、用於傳輸光學訊號的波導(waveguide)、及例如印刷電路板(printed circuit board)的基底等支撐件(support),所述支撐件上安裝有配備有雷射晶粒及所述一個或多個其他元件的晶片。已研究出用於在基底上安裝配備有雷射晶粒的晶片的各種方式。
本發明實施例的一種半導體封裝包括封裝基底、光子積體電路、雷射晶粒、電子積體電路、及第一重佈線結構。所述封裝基底包括連接件。所述光子積體電路設置在所述封裝基底之上。所述雷射晶粒在光學上耦合到所述光子積體電路。所述電子積體電路設置在所述封裝基底之上。所述第一重佈線結構設置在所述封裝基底之上,其中所述電子積體電路通過所述第一重佈線結構電連接到所述光子積體電路。
以下公開內容提供用於實作所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本發明。當然,這些僅為實例且不旨在進行限制。舉例來說,以下說明中將第一特徵形成在第二特徵“之上”或第二特徵“上”可包括其中第一特徵及第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵、進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。另外,本公開內容可能在各種實例中重複使用參考編號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“之下(beneath)”、“下面(below)”、“下部的(lower)”、“上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝置在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向)且本文中所用的空間相對性描述語可同樣相應地進行解釋。
另外,為易於說明,本文中可能使用例如“第一(first)”、“第二(second)”、“第三(third)”、“第四(fourth)”等用語來闡述與圖中所示者相似或不同的一個或多個元件或特徵,且可根據呈現次序或本說明的上下文來可互換地使用所述用語。
還可包括其他特徵及製程。舉例來說,可包括測試結構,以説明對三維(3D)封裝或三維積體電路(3DIC)裝置進行驗證測試。測試結構可包括例如在重佈線層中或基底上形成的測試墊,所述測試墊使得能夠對三維封裝或三維積體電路進行測試、對探針(probe)及/或探針卡(probe card)進行使用等。可對中間結構及最終結構執行驗證測試。另外,本文中所公開的結構及方法可結合包括對已知良好晶粒的中間驗證的測試方法一起使用,以提高良率(yield)及降低成本。
圖1A到圖1D是根據本發明一些示例性實施例的光子積體電路(“PIC”)的製造方法中的各種階段的示意性剖視圖。圖2A到圖2D是根據本發明一些示例性實施例的光子積體電路的製造方法中的各種階段的示意性俯視圖。
參照圖1A及圖2A,在基底102之上形成光學調變器(optical modulator)110及波導112。在一些實施例中,基底102是塊狀矽基底(bulk silicon substrate),然而,可使用其他基底材料來適應具體情況。在一些實施例中,在基底102之上形成埋式氧化物層(buried oxide layer)104以形成絕緣體上矽(Silicon on Insulator,SOI)結構,且在埋式氧化物層104之上形成光學調變器110及波導112。在一些實施例中,在波導112之間設置包覆層(cladding layer)106。在一些實施例中,光學調變器110及波導112的材料為矽、SiON、或其他適合的材料。在一些實施例中,舉例來說,光學調變器110與波導112並排設置在基底102之上,且光學調變器110被設置成與基底102的邊緣相鄰。
參照圖1B及圖2B,在基底102之上形成通孔(via)116。在一些實施例中,通孔116的材料可為金屬,例如鋁、銅、金、其組合、或其他適合的材料。
接著,在基底102之上形成保護層118,在保護層118中設置通孔116,且在波導112之上設置保護層118。在一些實施例中,保護層118的材料為氧化矽、氮化矽、例如經碳摻雜的氧化物等低介電常數介電材料(low-k dielectric material)、例如經多孔碳摻雜的二氧化矽等超低介電常數介電材料(extremely low-k dielectric material)、其組合、或其他適合的材料。在一些實施例中,形成保護層118的方法包括沉積製程,例如化學氣相沉積製程(chemical vapor deposition process)或其他適合的沉積製程。
參照圖1C及圖2C,將保護層118圖案化以在保護層118的邊緣處形成開口118a。在一些實施例中,開口118a暴露出波導112的與光學調變器110相對地設置且與光學調變器110遠離的一部分。在一些實施例中,在保護層118中界定開口118a以使得雷射晶粒能夠傳輸及/或接收光學訊號。形成開口118a的方法包括蝕刻製程(etch process)。
參照圖1D及圖2D,接著,在開口118a中形成光學介電波導(optical dielectric waveguide)122,以形成光子積體電路130。在一些實施例中,光學介電波導122的材料包括例如旋塗玻璃(spin-on glass,SOG)等玻璃、矽、氧化矽、光阻、環氧樹脂(epoxy)、例如聚甲基丙烯酸甲酯(polymethylmethacrylate)、聚氨酯(polyurethane)或聚醯亞胺(polyimide)等光學聚合物、及其他適合的光學介電材料。在一些實施例中,光學介電波導122的頂表面122a與保護層118的頂表面118b共面。
在一些實施例中,光子積體電路130被配置成處理、接收、及/或傳輸光學訊號。因此,在一些應用中,光子積體電路130也被稱作光學晶片。光學訊號是展現出能夠由光子來建模的特性的電磁訊號且所述光學訊號不同於作為由例如電子、電洞或離子等電荷所載送的訊號的電訊號。在替代性實施例中,光子積體電路130進一步包括一個或多個主動元件及/或被動元件,所述一個或多個主動元件及/或被動元件被配置成處理、接收、及/或傳輸通過雷射晶粒轉換成光學訊號的電訊號/通過雷射晶粒從光學訊號轉換而成的電訊號。在替代性實施例中,光子積體電路130進一步包括例如光感測器(photo-sensor)等光檢測裝置。
圖3A到圖3F是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。參照圖3A,提供上面形成有重佈線結構142的載板140。載板140可為玻璃載板或任何適用於為半導體封裝的製造方法承載半導體晶圓或重構晶圓(reconstituted wafer)的載板。在一些實施例中,重佈線結構142為前側重佈線結構。形成重佈線結構142包括依序地交替形成一個或多個介電層與一個或多個金屬化層(metallization layer)。在一些實施例中,金屬化層可夾置在介電層之間。在一些實施例中,所述金屬化層的材料包括鋁、鈦、銅、鎳、鎢、銀、及/或其合金。在一些實施例中,所述介電層的材料包括聚醯亞胺、苯並環丁烯(benzocyclobutene)、或聚苯並惡唑(polybenzoxazole)。
接著,在載板140之上在重佈線結構142上形成層間穿孔(through interlayer via)(“TIV”)144。在一些實施例中,形成層間穿孔144包括:在重佈線結構142上形成具有局部地暴露出重佈線結構142的開口的罩幕圖案(圖中未示出);接著通過電鍍(electroplating)或沉積來形成填滿所述開口的金屬材料(圖中未示出);以及移除所述罩幕圖案以在重佈線結構142上形成層間穿孔144。層間穿孔144的金屬材料可為銅或銅合金。然而,應知,本發明的範圍並非僅限於以上所公開的材料及說明。
在此之後,將高性能積體電路154貼合到重佈線結構142,且將光子積體電路130放置在重佈線結構142上。在一些實施例中,光子積體電路130及高性能積體電路154並排設置,且層間穿孔144設置在光子積體電路130與高性能積體電路154之間。在一些實施例中,高性能積體電路154是應用處理器(central processing unit,CPU)、圖形處理單元(graphic processing unit,GPU)、現場可程式設計柵極陣列(field programmable gate array,FPGA)、或其他適合的高性能積體電路。在一些實施例中,重佈線結構142也是高性能積體電路154的前側重佈線結構。在一些實施例中,通過微凸塊製程(micro-bumping process)將高性能積體電路154電連接到重佈線結構142,也就是說,將高性能積體電路154的多個凸塊156結合到重佈線結構142。在一些實施例中,舉例來說,在高性能積體電路154與重佈線結構142之間進一步形成黏合層158。在一些實施例中,利用晶粒貼合膜132將光子積體電路130放置在載板140上。
參照圖3B,在載板140之上形成模製化合物160,且將高性能積體電路154、光子積體電路130、及層間穿孔144包封在模製化合物160中。模製化合物160的頂表面160a與光子積體電路130的頂表面及層間穿孔144的頂表面實質上共面。在一些實施例中,模製化合物160填充在高性能積體電路154、層間穿孔144、光子積體電路130之間或環繞高性能積體電路154、層間穿孔144、光子積體電路130。在一些實施例中,模製化合物160覆蓋高性能積體電路154的頂表面。在替代性實施例中,高性能積體電路154的頂表面可被暴露出。
接著,在光學介電波導122上形成覆蓋模製化合物160的一部分的光學介電波導123,且將光學介電波導123與光學介電波導122統稱為光學介電波導124。在一些實施例中,光學介電波導123的材料例如與光學介電波導122的材料相同。在替代性實施例中,光學介電波導123的材料與光學介電波導122的材料不同。在形成光學介電波導123之後,在模製化合物160的邊緣處設置覆蓋模製化合物160的一部分的光學介電波導124。光學介電波導124靠近相鄰的光子積體電路。在一些實施例中,光學介電波導124的相對於載板140來說的頂表面124a高於保護層118的頂表面118b及模製化合物160的頂表面160a。在替代性實施例中,光學介電波導124的頂表面124a與保護層118的頂表面118b及模製化合物160的頂表面160a實質上共面。
參照圖3C,在模製化合物160之上形成與層間穿孔144及光子積體電路130的通孔116電連接的重佈線結構146,其中重佈線結構146具有位於光子積體電路130上方的窗口(window opening)146a。
參照圖3D,將圖3C中的結構從載板140剝離,且將所述結構上下翻轉並設置在載板170上。接著,在重佈線結構142之上形成多個凸塊152。
參照圖3E,將圖3D中的結構從載板170剝離,且將所述結構上下翻轉並設置在載板180上。接著,將電子積體電路(electronic integrated circuit)(“EIC”)190及雷射晶粒200貼合到重佈線結構146。在一些實施例中,通過重佈線結構146將電子積體電路190結合到且電連接到光子積體電路130,且在光子積體電路130之上在窗口146a上將雷射晶粒200設置成在光學上耦合到光子積體電路130。在一些實施例中,舉例來說,將電子積體電路190的多個凸塊192結合到重佈線結構146,且在所述多個凸塊192與重佈線結構146之間形成黏合層194。在一些實施例中,通過重佈線結構146、層間穿孔144、及重佈線結構142將電子積體電路190電連接到高性能積體電路154。在一些實施例中,將窗口146a設置在雷射晶粒200與光子積體電路130之間且使窗口146a在光學上耦合雷射晶粒200與光子積體電路130。在一些實施例中,重佈線結構146也是光子積體電路130的前側重佈線結構。在一些實施例中,電子積體電路190是驅動器積體電路,且包括一個或多個主動元件及/或被動組件。被動元件的實例包括但不限於電阻器、電容器、及電感器。主動元件的實例包括但不限於二極體、場效電晶體(field effect transistor,FET)、金屬氧化物半導體場效電晶體(metal-oxide-semiconductor FET,MOSFET)、互補金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)電晶體、及雙極電晶體(bipolar transistor)。
在一些實施例中,將雷射晶粒200結合到重佈線結構146以使雷射晶粒200電連接到電子積體電路190且在光學上與光子積體電路130連接。電連接應意指電流能夠從電子積體電路190經過到達雷射晶粒200。相同地,在光學上連接應意指光能夠從雷射晶粒200經過到達光子積體電路130。光子積體電路130利用波導112從雷射晶粒200接收光。光子積體電路130通過光學調變器110將光調變成光學脈衝來對資料進行編碼。由於光學介電波導124被暴露出,因此光學脈衝會經過光學介電波導124且可經由光學介電波導124傳輸到相鄰光子積體電路(圖中未示出)並與所述相鄰光子積體電路進行通訊。
參照圖3F,將圖3E中的結構從載板180剝離,且通過凸塊152將所述結構結合到封裝基底210。在一些實施例中,在所述結構與封裝基底210之間形成黏合層214。在一些實施例中,封裝基底210包括連接件212。在一些實施例中,連接件212為例如焊料球(solder ball)或球柵陣列(ball grid array)(“BGA”)球。在一些實施例中,通過封裝基底210及凸塊152,一些連接件212電連接到光子積體電路130,且一些連接件212電連接到高性能積體電路154。在一些實施例中,在貼合到封裝基底210之前執行切分製程(dicing process),以沿切割線將整個封裝結構切割成各別且分離的半導體封裝。
圖4A到圖4F是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。圖3A到圖3F所示方法與圖4A到圖4F所示方法之間的差異在於光子積體電路與高性能積體電路的構造。以下詳細說明所述差異,且本文中不再對相似性予以贅述。
參照圖4A,提供上面形成有重佈線結構142的載板140。接著,在載板140之上形成與重佈線結構142電連接的層間穿孔144及高性能積體電路154。在此之後,在載板140之上形成模製化合物160,且將層間穿孔144及高性能積體電路154包封在模製化合物160中。
參照圖4B,在模製化合物160之上形成電連接到層間穿孔144的重佈線結構146。接著,在重佈線結構146之上形成層間穿孔148及光子積體電路130。在一些實施例中,將層間穿孔148電連接到重佈線結構146,且利用晶粒貼合膜132將光子積體電路130放置在重佈線結構146上。在此之後,在重佈線結構146之上形成模製化合物162,且將層間穿孔148及光子積體電路130包封在模製化合物162中。
參照圖4C,在光學介電波導122上形成覆蓋模製化合物162的一部分的光學介電波導123。接著,在模製化合物162之上形成與層間穿孔148及光子積體電路130的通孔116電連接的重佈線結構150。
參照圖4D,將圖4C中的結構從載板140剝離,且將所述結構上下翻轉並設置在載板170上。接著,在重佈線結構142之上形成多個凸塊152。
參照圖4E,將圖4D中的結構從載板170剝離,且將所述結構上下翻轉並設置在載板180上。接著,通過重佈線結構150將電子積體電路190結合到光子積體電路130,且將雷射晶粒200設置在光子積體電路130上方。在一些實施例中,通過重佈線結構142、146、150、及層間穿孔144、148將電子積體電路190電連接到高性能積體電路154。在一些實施例中,保護層118是由對通過雷射晶粒200所傳輸及/或接收的光學訊號透明的材料製成,且因此省略窗口開口146a(在圖3C中示出)的與保護層118對應的一部分。
參照圖4F,將圖4E中的結構從載板180剝離,且通過凸塊152及黏合層214將所述結構結合到封裝基底210。隨後,在一些實施例中,執行切分製程,以沿切割線將整個封裝結構切割成各別且分離的半導體封裝。在一個實施例中,所述切分製程是包括機械刀片鋸切(mechanical blade sawing)或雷射切割(laser cutting)的晶圓切分製程。
在以上實施例中,光子積體電路、電子積體電路、及高性能積體電路與集成扇出(integrated fan-out,InFO)平台集成在一起。光子積體電路與高性能積體電路可並排設置(在圖3F中示出)或堆疊在彼此上面(在圖4F中示出),且電子積體電路及雷射晶粒堆疊在光子積體電路之上。在一些實施例中,使用重佈線結構的窗口進行雷射耦合及傳輸。光學介電波導被暴露出且被用於耦合來自例如矽波導等波導的光及與相鄰光子積體電路進行通訊。
圖5A到圖5D是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。參照圖5A,提供上面形成有重佈線結構142的載板140。接著,在載板140之上在重佈線結構142上形成層間穿孔144。在此之後,將光子積體電路130放置在重佈線結構142上。在一些實施例中,利用晶粒貼合膜132將光子積體電路130放置在載板140上。在一些實施例中,光子積體電路130具有圖1B中繪示的結構,換句話說,光子積體電路130不包括光學介電波導122。
參照圖5B,在載板140之上形成模製化合物160,且將光子積體電路130及層間穿孔144包封在模製化合物160中。模製化合物160的頂表面160a與光子積體電路130的頂表面及層間穿孔144的頂表面實質上共面。在一些實施例中,模製化合物160填充在光子積體電路130與層間穿孔144之間。
接著,在模製化合物160之上形成與層間穿孔144及光子積體電路130的通孔116電連接的重佈線結構146。在一些實施例中,重佈線結構146也是光子積體電路130的前側重佈線結構。在一些實施例中,重佈線結構146包括位於雷射晶粒200與光子積體電路130的波導112之間、用於進行雷射耦合及傳輸的光學介電層147。在一些實施例中,光學介電層147的材料包括玻璃、矽、氧化矽、光學聚合物、及其他適合的光學介電材料。
在此之後,將電子積體電路190及雷射晶粒200貼合到重佈線結構146。在一些實施例中,通過重佈線結構146將電子積體電路190結合到光子積體電路130,且在光子積體電路130之上將雷射晶粒200結合到重佈線結構146。在一些實施例中,舉例來說,將電子積體電路190的多個凸塊192結合到重佈線結構146,且在所述多個凸塊192與重佈線結構146之間形成黏合層194。在一些實施例中,將電子積體電路190與雷射晶粒200設置在光子積體電路130的同一側上。
參照圖5C,將圖5B中的結構從載板140剝離,且將所述結構上下翻轉並設置在載板170上。接著,在重佈線結構142之上形成多個凸塊152。
參照圖5D,將圖5C中的結構從載板170剝離,且將所述結構上下翻轉。接著,在光子積體電路130之上設置光耦合器220。在一些實施例中,利用黏合層126將光耦合器220貼合到光子積體電路130。在一些實施例中,重佈線結構146包括位於光耦合器220與光子積體電路130的波導112之間、用於在光學上進行耦合及傳輸的光學介電層147。在一些實施例中,在貼合光耦合器220之前執行切分製程,以沿切割線將整個封裝結構切割成各別且分離的半導體封裝。在一個實施例中,所述切分製程是包括機械刀片鋸切或雷射切割的晶圓切分製程。
在一些實施例中,光子積體電路及電子積體電路與集成扇出平台集成在一起。在一些實施例中,使用光子積體電路之上的重佈線結構的光學介電層進行雷射耦合及傳輸。另外,還使用光學介電層將來自例如矽波導等波導的光耦合到例如光纖(fiber)等光耦合器。此外,去往電子積體電路的控制訊號直接從凸塊到達重佈線結構及層間穿孔。
圖6A到圖6F是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。圖3A到圖3F所示方法與圖6A到圖6F所示方法之間的差異在於光子積體電路的構造是以面朝下的方式設置。以下詳細說明所述差異,且本文中不再對相似性予以贅述。
參照圖6A,提供上面塗布有緩衝層141的載板140。在一些實施例中,緩衝層141包括剝離層,且所述剝離層的材料可為任何適用於將載板140與設置在載板140上的上方層或晶圓結合及剝離的材料。在一些實施例中,緩衝層141例如包括光-熱轉換(“LTHC”)層,且此種層使得能夠通過施加雷射照射而從載板實現室溫剝離。緩衝層141可包括由介電材料製成的介電層,所述介電材料包括苯並環丁烯(“BCB”)、聚苯並惡唑(“PBO”)、或任何其他適合的聚合物系介電材料。
接著,在緩衝層141之上形成層間穿孔144、高性能積體電路154、及光子積體電路130。在一些實施例中,分別利用晶粒貼合膜132、155將高性能積體電路154及光子積體電路130放置在載板140上。在一些實施例中,光子積體電路130具有圖1B中繪示的結構,換句話說,光子積體電路130不包括光學介電波導122。在一些實施例中,高性能積體電路154與光子積體電路130並排設置。在一些實施例中,高性能積體電路154中包括重佈線結構157。
參照圖6B,在載板140之上形成模製化合物160,且將層間穿孔144、高性能積體電路154、及光子積體電路130包封在模製化合物160中。接著,在模製化合物160之上形成與層間穿孔144、高性能積體電路154的重佈線結構157、及光子積體電路130的通孔116電連接的重佈線結構142。接著,在光子積體電路130上設置與光子積體電路130結合的電子積體電路190。在一些實施例中,電子積體電路190與光子積體電路130通過重佈線結構142電連接,且電子積體電路190與高性能積體電路154也通過重佈線結構142電連接。
參照圖6C,在重佈線結構142之上形成與重佈線結構142電連接的重佈線結構146、150,其中將電子積體電路190嵌在重佈線結構146、150中。接著,在重佈線結構150之上形成多個凸塊152。
參照圖6D,將圖6C中的結構從載板140剝離,且將所述結構上下翻轉並設置在載板170上。接著,在高性能積體電路154的背表面及光子積體電路130的背表面之上形成重佈線結構151。在此之後,舉例來說,在重佈線結構151中形成窗口151a。
參照圖6E,分別在光子積體電路130上方在窗口151a上設置雷射晶粒200及光耦合器220。在一些實施例中,光耦合器220可為光纖(optical fiber)。在一些實施例中,窗口151a設置在光耦合器220與光子積體電路130之間且在光學上耦合光耦合器220與光子積體電路130。在一些實施例中,窗口151a設置在雷射晶粒200與光子積體電路130之間且在光學上耦合雷射晶粒200與光子積體電路130。在一些實施例中,光子積體電路130的基底102(例如,塊狀矽)位於波導112與雷射晶粒200之間且因此被用於進行雷射耦合及傳輸。
參照圖6F,將圖6E中的結構從載板170剝離,且通過凸塊152及黏合層214將所述結構結合到封裝基底210。
在一些實施例中,光子積體電路、電子積體電路、及高性能積體電路與集成扇出平台集成在一起。在一些實施例中,使用重佈線結構的窗口進行雷射耦合及傳輸。由於光子積體電路面朝下設置,因此使用所述光子積體電路的基底(例如,塊狀矽)進行雷射耦合及傳輸,且使用光耦合器與相鄰光子積體電路進行通訊。通過形成重佈線結構,高性能積體電路與電子積體電路之間及所述電子積體電路與光子積體電路之間的內連線縮短。
圖7A到圖7D是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。圖3A到圖3F所示方法與圖7A到圖7D所示方法之間的差異在於電子積體電路的構造設置在並排排列的光子積體電路與高性能積體電路之間。以下詳細說明所述差異,且本文中不再對相似性予以贅述。
參照圖7A,通過晶粒貼合膜155、191、132在載板140之上形成高性能積體電路154、電子積體電路190、及光子積體電路130。在一些實施例中,高性能積體電路154中包括重佈線結構157。在一些實施例中,電子積體電路190中包括重佈線結構196。在替代性實施例中,電子積體電路190可為高性能積體電路154的一部份。
參照圖7B,在載板140之上形成模製化合物160,且將高性能積體電路154、電子積體電路190、及光子積體電路130包封在模製化合物160中。接著,在光學介電波導122以及模製化合物160的一部分上形成光學介電波導123。在此之後,在模製化合物160之上形成與高性能積體電路154及電子積體電路190電連接的重佈線結構150,且將光學介電波導124嵌在重佈線結構150中。在一些實施例中,光學介電波導124的側表面被暴露出。在一些實施例中,電子積體電路190與光子積體電路130通過重佈線結構150電連接,且電子積體電路190與高性能積體電路154也通過重佈線結構150電連接。
參照圖7C,在重佈線結構150之上形成多個凸塊152。接著,在重佈線結構150上設置與重佈線結構150結合的雷射晶粒200。
參照圖7D,將圖7C中的結構從載板140剝離,且將所述結構上下翻轉並通過凸塊152及黏合層214將所述結構結合到封裝基底210。在一些實施例中,封裝基底210形成有穿過封裝基底210的開口210a,且雷射晶粒200設置在開口210a中。在一些實施例中,將光學介電波導124設置在模製化合物160的一部分與封裝基底210之間。在一些實施例中,在光子積體電路130與雷射晶粒200之間設置重佈線結構150的用於進行雷射耦合及傳輸的光學介電層147。
圖8A到圖8F是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。以下詳細說明圖3A到圖3F所示方法與圖8A到圖8F所示方法之間的差異,且本文中不再對相似性予以贅述。
參照圖8A,提供基底300,且在基底300上形成具有開口310a的重佈線結構310。在一些實施例中,也將基底300稱作插板(interposer),且基底300為例如矽晶圓。在一些實施例中,還可在基底300之上形成層間穿孔。接著,在開口310a中形成光學介電波導123。
參照圖8B,在基底300之上形成與重佈線結構310結合的高性能積體電路154、電子積體電路190、及光子積體電路130。接著,在基底300之上形成模製化合物160,且將高性能積體電路154、電子積體電路190、及光子積體電路130包封在模製化合物160中。
參照圖8C,將圖8B中的結構上下翻轉並例如利用晶粒貼合膜(圖中未示出)將高性能積體電路154、電子積體電路190、及光子積體電路130貼合到載板140。
參照圖8D,對基底300執行薄化製程(thinning process),且移除基底300。接著,在基底300之上形成重佈線結構312。在此之後,在重佈線結構310之上形成多個凸塊314,且在不形成凸塊314的條件下,重佈線結構310的表面的位於光子積體電路130之上的一部分310b被保留下來。
參照圖8E,在重佈線結構310的所述表面的所述部分310b上設置與重佈線結構310結合的雷射晶粒200。
參照圖8F,將圖8E中的結構從載板140剝離,且將所述結構上下翻轉並通過凸塊314及黏合層316將所述結構結合到封裝基底210。在一些實施例中,封裝基底210形成有穿過封裝基底210的開口210a,且雷射晶粒200設置在開口210a中。在一些實施例中,重佈線結構310、312包括設置在光子積體電路130與雷射晶粒200之間、用於進行雷射耦合及傳輸的光學介電層147。
在一些實施例中,電子積體電路190與光子積體電路130並排設置,且重佈線結構310設置在光子積體電路130與封裝基底210之間及電子積體電路190與封裝基底210之間。在一些實施例中,電子積體電路190與光子積體電路130通過重佈線結構310電連接,且電子積體電路190與高性能積體電路154也通過重佈線結構310電連接。
在一些實施例中,光子積體電路、電子積體電路及高性能積體電路與集成扇出平台或其他平台(例如,基底晶圓晶片(Chip on Wafer on Substrate,CoWoS)平台)集成在一起,且光子積體電路與電子積體電路通過重佈線結構電連接。因此,使得光子積體電路與封裝基底之間的導電路徑能夠縮短。在一些實施例中,縮短的導電路徑意指沿導電路徑具有降低的寄生電感(parasitic capacitance)及寄生電阻(parasitic resistance),且因此轉而會説明降低所得裝置的功率損耗且提高所得裝置的運行頻率(operation frequency)及運行速度(operation speed)。另外,光學介電波導提供緊湊形狀因數(compact form factor)。在光子積體電路、電子積體電路、及高性能積體電路之間提供高運行速度及高頻寬通訊,且因此所述半導體封裝可應用於多核心高性能計算(high performance computing,HPC)應用中。
根據一些實施例,一種半導體封裝包括封裝基底、光子積體電路、雷射晶粒、電子積體電路、及第一重佈線結構。所述封裝基底包括連接件。所述光子積體電路設置在所述封裝基底之上。所述雷射晶粒在光學上耦合到所述光子積體電路。所述電子積體電路設置在所述封裝基底之上。所述第一重佈線結構設置在所述封裝基底之上,其中所述電子積體電路通過所述第一重佈線結構電連接到所述光子積體電路。
根據一些實施例,所述電子積體電路與所述光子積體電路堆疊在彼此上面,且所述第一重佈線結構設置在所述電子積體電路與所述光子積體電路之間。
根據一些實施例,進一步包括位於所述封裝基底之上的高性能積體電路及至少一個第二重佈線結構,其中所述高性能積體電路與所述電子積體電路通過所述第一重佈線結構及所述至少一個第二重佈線結構電連接。
根據一些實施例,所述高性能積體電路與所述光子積體電路並排設置。
根據一些實施例,所述高性能積體電路設置在所述光子積體電路與所述封裝基底之間。
根據一些實施例,進一步包括位於所述封裝基底之上的高性能積體電路,其中所述高性能積體電路與所述電子積體電路通過所述第一重佈線結構電連接。
根據一些實施例,所述光子積體電路與所述電子積體電路並排設置,且所述第一重佈線結構設置在所述光子積體電路與所述封裝基底之間且設置在所述電子積體電路與所述封裝基底之間。
根據一些實施例,所述第一重佈線結構進一步包括窗口,所述窗口設置在所述雷射晶粒與所述光子積體電路之間且在光學上耦合所述雷射晶粒與所述光子積體電路。
根據一些實施例,進一步包括光耦合器及位於所述光耦合器與所述光子積體電路之間的第二重佈線結構,其中所述第二重佈線結構包括窗口,所述窗口在光學上耦合所述光耦合器與所述光子積體電路。
根據一些實施例,一種半導體封裝包括封裝基底、光子積體電路、雷射晶粒、電子積體電路、高性能積體電路、及重佈線結構。所述光子積體電路設置在所述封裝基底之上且包括光學介電波導,其中所述光學介電波導的一部分被暴露出。所述雷射晶粒在光學上耦合到所述光子積體電路。所述電子積體電路設置在所述封裝基底之上且電連接到所述光子積體電路。所述高性能積體電路設置在所述封裝基底之上且電連接到所述電子積體電路。所述重佈線結構設置在所述封裝基底之上。
根據一些實施例,所述光子積體電路包括具有開口的保護層,且所述光學介電波導的至少一部分設置在所述開口中。
根據一些實施例,所述光學介電波導的頂表面高於所述保護層的頂表面。
根據一些實施例,進一步包括包封所述光子積體電路及所述高性能積體電路的模製化合物,且所述光學介電波導覆蓋所述模製化合物的一部分。
根據一些實施例,進一步包括包封所述光子積體電路及所述高性能積體電路的模製化合物,且所述光學介電波導設置在所述模製化合物的一部分與所述封裝基底之間。
根據一些實施例,所述重佈線結構設置在所述光子積體電路與所述封裝基底之間,且所述光學介電波導嵌置在所述重佈線結構中。
根據一些實施例,所述光學介電波導的材料包含氧化物或光學聚合物。
根據一些實施例,一種半導體封裝包括封裝基底、光子積體電路、雷射晶粒、電子積體電路、及重佈線結構。所述封裝基底包括連接件。所述光子積體電路設置在所述封裝基底之上。所述雷射晶粒在光學上耦合到所述光子積體電路。所述電子積體電路設置在所述封裝基底之上且電連接到所述光子積體電路。所述重佈線結構設置在所述封裝基底之上且包括光學介電層,所述光學介電層設置在所述雷射晶粒與所述光子積體電路之間且在光學上耦合所述雷射晶粒與所述光子積體電路。
根據一些實施例,所述光子積體電路設置在所述雷射晶粒與所述封裝基底之間。
根據一些實施例,所述封裝基底包括開口,且所述光子積體電路設置在所述開口中。
根據一些實施例,進一步包括設置在所述光子積體電路之上的光耦合器,其中所述光學介電層設置在所述光子積體電路與所述光耦合器之間且在光學上耦合所述光子積體電路與所述光耦合器。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本發明的各個方面。所屬領域中的技術人員應理解,其可容易地使用本發明作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本發明的精神及範圍,而且他們可在不背離本發明的精神及範圍的條件下對其作出各種改變、代替、及變更。
102、300‧‧‧基底
104‧‧‧埋式氧化物層
106‧‧‧包覆層
110‧‧‧光學調變器
112‧‧‧波導
116‧‧‧通孔
118‧‧‧保護層
118a、210a、310a‧‧‧開口
118b、122a、124a、160a‧‧‧頂表面
122、123、124‧‧‧光學介電波導
126、158、194、214、316‧‧‧黏合層
130‧‧‧光子積體電路
132、155、191‧‧‧晶粒貼合膜
140、170、180‧‧‧載板
141‧‧‧緩衝層
142、146、150、151、157、196、310、312‧‧‧重佈線結構
144、148‧‧‧層間穿孔
146a、151a‧‧‧窗口開口
147‧‧‧光學介電層
152、156、192、314‧‧‧凸塊
154‧‧‧高性能積體電路
160、162‧‧‧模製化合物
190‧‧‧電子積體電路
200‧‧‧雷射晶粒
210‧‧‧封裝基底
212‧‧‧連接件
220‧‧‧光耦合器
310b‧‧‧部分
結合附圖閱讀以下詳細說明,會最好地理解本發明的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1A到圖1D是根據本發明一些示例性實施例的光子積體電路(photonic integrated circuit)(“PIC”)的製造方法中的各種階段的示意性剖視圖。 圖2A到圖2D是根據本發明一些示例性實施例的光子積體電路的製造方法中的各種階段的示意性俯視圖。 圖3A到圖3F是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。 圖4A到圖4F是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。 圖5A到圖5D是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。 圖6A到圖6F是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。 圖7A到圖7D是根據本發明一些示例性實施例的半導體封裝的製造方法中的各種階段的示意性剖視圖。 圖8A到圖8F是根據本發明一些示例性實施例的在半導體封裝的製造方法中的各種階段的示意性剖視圖。圖1是習知的一種AAA的示意圖。

Claims (1)

  1. 一種半導體封裝,包括: 封裝基底,包括連接件; 光子積體電路,設置在所述封裝基底之上; 雷射晶粒,在光學上耦合到所述光子積體電路; 電子積體電路,設置在所述封裝基底之上;以及 第一重佈線結構,設置在所述封裝基底之上,其中所述電子積體電路通過所述第一重佈線結構電連接到所述光子積體電路。
TW106142899A 2017-07-27 2017-12-07 半導體封裝 TW201911503A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/660,972 US10162139B1 (en) 2017-07-27 2017-07-27 Semicondcutor package
US15/660,972 2017-07-27

Publications (1)

Publication Number Publication Date
TW201911503A true TW201911503A (zh) 2019-03-16

Family

ID=64692169

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106142899A TW201911503A (zh) 2017-07-27 2017-12-07 半導體封裝

Country Status (3)

Country Link
US (3) US10162139B1 (zh)
CN (1) CN109309061A (zh)
TW (1) TW201911503A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI732680B (zh) * 2019-09-19 2021-07-01 台灣積體電路製造股份有限公司 封裝及其製造方法
US11973074B2 (en) 2019-09-19 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic semiconductor device and method of manufacture

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11625523B2 (en) 2016-12-14 2023-04-11 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips
TW202404049A (zh) 2016-12-14 2024-01-16 成真股份有限公司 標準大宗商品化現場可編程邏輯閘陣列(fpga)積體電路晶片組成之邏輯驅動器
US10162139B1 (en) * 2017-07-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package
US10957679B2 (en) 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
US10630296B2 (en) 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
US10608642B2 (en) 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
US10623000B2 (en) 2018-02-14 2020-04-14 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US11043478B2 (en) * 2018-04-24 2021-06-22 Cisco Technology, Inc. Integrated circuit bridge for photonics and electrical chip integration
IT201800005106A1 (it) * 2018-05-07 2019-11-07 Dispositivo, procedimento e sistema elettro-ottico corrispondenti
US10777430B2 (en) 2018-06-27 2020-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic integrated package and method forming same
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11493713B1 (en) * 2018-09-19 2022-11-08 Psiquantum, Corp. Photonic quantum computer assembly having dies with specific contact configuration and matched CTE
US11616046B2 (en) 2018-11-02 2023-03-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
WO2021048349A1 (en) * 2019-09-11 2021-03-18 Rockley Photonics Limited Siliconized heterogeneous optical engine
US11637056B2 (en) 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
US11899251B2 (en) * 2019-10-31 2024-02-13 Ayar Labs, Inc. Vertical integrated photonics chiplet for in-package optical interconnect
US11635566B2 (en) 2019-11-27 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and method of forming same
EP3840543A1 (en) * 2019-12-20 2021-06-23 Knowledge Development for POF SL Fiber optic connector
US11600526B2 (en) 2020-01-22 2023-03-07 iCometrue Company Ltd. Chip package based on through-silicon-via connector and silicon interconnection bridge
US11899242B2 (en) * 2020-03-27 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a packaged device with optical pathway
US11315855B2 (en) * 2020-04-01 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with photonic die and method
US11404404B2 (en) * 2020-05-27 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having photonic die and electronic die
US11592618B2 (en) 2020-06-10 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic semiconductor device and method of manufacture
KR20220015757A (ko) * 2020-07-31 2022-02-08 삼성전자주식회사 반도체 패키지 및 그 제조 방법
WO2022041159A1 (zh) * 2020-08-28 2022-03-03 华为技术有限公司 一种芯片封装结构、电子设备及芯片封装结构的制备方法
CN113192937A (zh) * 2021-04-30 2021-07-30 杭州光智元科技有限公司 半导体装置及其制造方法
US20220365274A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company Limited Photonic silicon spatial beam transformer integrated on 3dic package and methods for forming the same
US11740415B2 (en) * 2021-05-14 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structures and process flow for integrated photonic-electric IC package by using polymer waveguide
CN113035858B (zh) * 2021-05-31 2021-09-14 杭州光智元科技有限公司 半导体装置及其制造方法
CN116299902B (zh) * 2021-07-01 2024-05-28 上海曦智科技有限公司 封装结构及其封装方法
US20230057702A1 (en) * 2021-08-19 2023-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN113985533A (zh) * 2021-10-18 2022-01-28 上海曦智科技有限公司 光子半导体装置及其制造方法
US11977256B2 (en) 2022-02-25 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package comprising optically coupled IC chips

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8155531B2 (en) * 2009-05-18 2012-04-10 Infinera Corporation Tunable photonic integrated circuits
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US20130307153A1 (en) 2012-05-18 2013-11-21 International Business Machines Corporation Interconnect with titanium-oxide diffusion barrier
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9543226B1 (en) * 2015-10-07 2017-01-10 Coriant Advanced Technology, LLC Heat sink for a semiconductor chip device
US10162139B1 (en) * 2017-07-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI732680B (zh) * 2019-09-19 2021-07-01 台灣積體電路製造股份有限公司 封裝及其製造方法
US11715728B2 (en) 2019-09-19 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic semiconductor device and method of manufacture
US11973074B2 (en) 2019-09-19 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Photonic semiconductor device and method of manufacture

Also Published As

Publication number Publication date
CN109309061A (zh) 2019-02-05
US10481351B2 (en) 2019-11-19
US10162139B1 (en) 2018-12-25
US10996410B2 (en) 2021-05-04
US20190146166A1 (en) 2019-05-16
US20200088960A1 (en) 2020-03-19

Similar Documents

Publication Publication Date Title
US10481351B2 (en) Semicondcutor packages
US11527419B2 (en) Photonic integrated package and method forming same
US9576937B2 (en) Back-to-back stacked integrated circuit assembly
US10914895B2 (en) Package structure and manufacturing method thereof
US11841541B2 (en) Package assembly and manufacturing method thereof
US6743661B1 (en) Method of fabricating an integrated circuit package utilizing an interposer surrounded by a flexible dielectric material with conductive posts
US11417698B2 (en) Semiconductor package and method of forming the same
US9293442B2 (en) Semiconductor package and method
US20220328552A1 (en) Semiconductor package and method of forming the same
US11935837B2 (en) Photonics integrated circuit package
US11688725B2 (en) Semiconductor packages
TW201917859A (zh) 半導體封裝及其製作方法
US20240061195A1 (en) Package assembly and manufacturing method thereof
CN116525558A (zh) 封装件及其形成方法