TW201905227A - 物理氣相沈積之標靶結構 - Google Patents

物理氣相沈積之標靶結構

Info

Publication number
TW201905227A
TW201905227A TW107122620A TW107122620A TW201905227A TW 201905227 A TW201905227 A TW 201905227A TW 107122620 A TW107122620 A TW 107122620A TW 107122620 A TW107122620 A TW 107122620A TW 201905227 A TW201905227 A TW 201905227A
Authority
TW
Taiwan
Prior art keywords
target
double
sided
deposition
target structure
Prior art date
Application number
TW107122620A
Other languages
English (en)
Inventor
陳秉源
陳宏政
謝志軒
王御軒
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201905227A publication Critical patent/TW201905227A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3464Sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/352Sputtering by application of a magnetic field, e.g. magnetron sputtering using more than one target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • C23C14/505Substrate holders for rotation of the substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3417Arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • H01J37/3429Plural materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3435Target holders (includes backing plates and endblocks)

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

在此揭露一種濺鍍標靶結構,其包括一具有一第一面及一第二面的主體。一第一濺鍍標靶與此主體的第一面耦接。此第一濺鍍標靶包括一第一材料。一第二濺鍍標靶與此主體的第二面耦接。此第二濺鍍標靶包括一第二材料。一旋轉機制與此主體耦接且組態為允許此主體自一第一方向旋轉至一第二方向。

Description

物理氣相沈積之標靶結構
本發明實施例係有關於半導體製程設備,尤其是與物理氣相沈積之標靶結構相關。
目前物理氣相沈積(Physical Vaopr Deposition;PVD)製程係使用標靶來做為欲沈積材料的來源。根據所使用的沈積配方,此標靶一次可以來做沈積一種或多種材料,舉例而言,可以沈積一金屬及其合金於不同的層次中。然而,不同的材料無法僅藉由改變製程配方來使用。為了沈積不同的材料,舉例而言,鋁銅和氮化鈦、所使用的標靶必須在不同的製程反應室中移轉。於高溫製程中,在不同的製程反應室間移轉所需的時間和延遲會影響例如是晶粒大小或是邊界特性等材料性質。
在不同的實施例中,本揭露書提供一濺鍍標靶結構。此濺鍍標靶結構包括一主體,其具有一第一面及一第二面。一第一濺鍍標靶與此主體的第一面耦接。此第一濺鍍標靶包括一第一材料。一第二濺鍍標靶與此主體的第二面耦接。此第二濺鍍標靶包括一第二材料。一旋轉機制與此主體耦接且組態為旋轉此主體。
以下揭露依據本發明之各種實施例或範例,俾供實施本發明各標的之各技術特徵。為簡明扼要闡述本發明實施例,以下將以明確特定範例描述各元件及其配置。惟,此些說明理應為單純示範,並非用以限制本發明。舉例來說,以下描述在一第二技術特徵上形成一第一技術特徵,可理解其包括此等第一、第二技術特徵為直接接觸的實施例及此等第一、第二技術特徵之間尚有其他技術特徵形成,以致第一、第二技術特徵並非直接接觸的實施例。除此之外,為使本說明書內容簡單明瞭,在此亦可於不同範例中重複使用圖示元件符號及/或字元,然並非用以限定此些實施例及/或配置。
在不同的實施例中,揭露了一個雙面濺鍍標靶。此雙面濺鍍標靶包括一具有第一材料的第一濺鍍標靶及一具有第二材料的第二濺鍍標靶。此第一材料包括例如是氮化鈦之含有鈦基材料,及此第二材料包括例如是鋁銅之含有鋁合金材料。此第一濺鍍標靶與此雙面濺鍍標靶的一第一面耦接,而此第二濺鍍標靶與此雙面濺鍍標靶的一第二面耦接。此雙面濺鍍標靶組態為在一沈積反應室被接收且與一旋轉機制耦接。此旋轉機制組態為與於一同位沈積製程中將此雙面濺鍍標靶旋轉。舉例而言,在某些實施例中,此同位沈積製程包括一第一沈積階段及一第二沈積階段。此旋轉機制可以在此第一沈積階段及第二沈積階段之間將此雙面濺鍍標靶旋轉。
請參閱圖1,係示例性描述依據某些實施例之一雙面濺鍍標靶結構2之示意圖。此雙面濺鍍標靶結構2包括一旋轉主體4。此旋轉主體4的第一面6a組態為與一第一濺鍍標靶8a耦接而此旋轉主體4的第二面6b組態為與一第二濺鍍標靶8b耦接。在某些實施例中,此旋轉主體4的每一面6a、6b具有一設計過的凹陷12大小且組態為在其中接收一濺鍍標靶8a、8b。此凹陷12可以包括一內邊緣組態為以匹配一各自的濺鍍標靶8a、8b的外輪廓。
在不同的實施例中,此旋轉主體4的邊緣14可以是任何合適的形狀。舉例而言,此邊緣14的合適形狀可以是正方形、圓形、橢圓形、及/或任何其他合適的形狀。此邊緣14的形狀可以是和濺鍍標靶8a、8b的形狀相同或是不同的。舉例而言,在此例示實施例中,此旋轉主體4定義出長方形的邊緣14及一圓形的凹陷12(對應於濺鍍標靶8a、8b圓形的外輪廓),雖然熟習本技藝人士皆能明瞭此旋轉主體4及/或凹陷12可以包括任何合適的幾何形狀。
在某些實施例中,此旋轉主體4定義至少一個孔洞16自此旋轉主體4的第一表面6a延伸至此旋轉主體4的第二表面6b。此至少一個孔洞16的大小是設計過的且組態為在其中接收一阻擋裝置18。舉例而言,在某些實施例中,此至少一個孔洞16的大小是設計過的且組態為在其中接收一磁鐵、一崗哨及/或其他合適的阻擋裝置。此孔洞16可以安置於此旋轉主體4的中央位置且延伸進入由此旋轉主體4所定義出的凹陷12之中。在其他的實施例中,此至少一個孔洞16可以安置於此旋轉主體4的非中央位置及/或此凹陷12之外。雖然在此例示實施例中包括此至少一個孔洞16,但是熟習本技藝人士皆能明瞭在某些實施例中可以將此至少一個孔洞16省去且可以使用其他替代的阻擋裝置。
在某些實施例中,一阻擋裝置18穿過此至少一個孔洞16以將濺鍍標靶8a、8b維持在各自的凹陷12位置之中。此阻擋裝置18可以包括一第一磁性平板20a和一第二磁性平板20b耦接介於此旋轉主體4與濺鍍標靶8a、8b之間。此第一磁性平板20a和第二磁性平板20b可以藉由一軸22耦接,此軸22的大小是設計過的且組態為插入通過此孔洞16。此軸22與此孔洞16位於由此旋轉主體4所定義出的凹陷12之中的磁性平板20a、20b中央位置。這些磁性平板20a、20b可以包括永久磁鐵及/或電磁鐵。雖然在此例示實施例中顯示磁性平板20a、20b,但是熟習本技藝人士皆能明瞭任何合適的磁性結構例如是同心磁性環、同心分離安置的磁鐵及/或其他合適的磁性結構也能被使用且均是符合本揭露書中的範圍。在某些實施例中,這些磁性平板20a、20b的幾何形狀是與濺鍍標靶8a、8b的幾何形狀互補的。在某些實施例中,這些磁性平板20a、20b可以包括一吸附塗裝於至少一面組態為以與一例如是非磁性濺鍍標靶及/或非磁性旋轉主體4的非磁性表面耦接。
在某些實施例中,此第一濺鍍標靶8a與此旋轉主體4的第一面6a耦接而此第二濺鍍標靶8b是與此旋轉主體4的第二面6b耦接。此第一及第二濺鍍標靶8a、8b的形狀可以是任何合適的形狀,例如是圓形、環形、及/或任何其他合適的形狀。此濺鍍標靶8a、8b可以具有一預定厚度組態為在需要更換前提供一預定數量的濺鍍材料。在某些實施例中,此第一及第二濺鍍標靶8a、8b包括不同的材料。這些濺鍍標靶8a、8b的材料於一濺鍍製程中提供一材料源至一基板的表面。在某些實施例中,這些濺鍍標靶8a、8b的材料是直接提供至此基板的表面。在其他的實施例中,來自這些濺鍍標靶8a、8b的材料源與其他的一種或多種額外材料,例如是在同一沈積反應室中的氣相材料或電漿,結合以產生所欲沈積的材料。
在某些實施例中,此第一濺鍍標靶8a及/或第二濺鍍標靶8b可以包含一種或多種材料。舉例而言,在某些實施例中,此第一及/或第二濺鍍標靶8a、8b可以包括鈦、氮化鈦、例如是鋁銅合金的鋁合金、白金合金、鈷合金等。在某些實施例中,此第一濺鍍標靶8a包含一第一材料例如是鈦,而此第二濺鍍標靶8b包含一第二材料例如是鋁銅合金,雖然熟習本技藝人士皆能明瞭任何其他組合也是可能的。此一種或多種材料可以選取以形成一預定的圖案及/或結構於一工作板材之上,以下將會更詳細地加以描述。
在某些實施例中,此旋轉主體4包括一個或多個旋轉機制24a、24b。這些旋轉機制24a、24b組態為將此旋轉主體4與一例如是圖2中所描述的沈積反應室100之結構旋轉耦接。此一個或多個旋轉機制24a、24b包括自此旋轉主體4的一個或多個側壁14a、14b延伸的一轉軸26。在某些實施例中,此一個或多個旋轉機制24a、24b與延伸通過此旋轉主體4中心的一單一旋轉軸30對準。雖然在此例示實施例中顯示轉軸26,但是熟習本技藝人士皆能明瞭任何合適的旋轉機制皆能被使用且均是符合本揭露書中的範圍。
此雙面濺鍍標靶結構2組態為安置於一沈積反應室之中,以下將會更詳細地加以描述。此旋轉機制24a、24b組態為允許此旋轉主體4在此沈積反應室之中可以自一第一方向選轉至一第二方向。在某些實施例中,此第一方向包括此第一濺鍍標靶8a是在一朝下(或是使用)且此第二濺鍍標靶8b是在一朝上(或是非使用)的方向而此第二方向包括此第一濺鍍標靶8a是在一朝上且此第二濺鍍標靶8b是在一朝下的方向。雖然此處係描述特定的方向及實施例,但是熟習本技藝人士皆能明瞭此第一方向及/或第二方向可以是任何合適的方向只要能使此第一及/或第二濺鍍標靶8a、8b可以在一沈積製程中被分開使用且僅會有一濺鍍標靶8a或8b能被使用。
請參閱圖2,示例性描述依據某些實施例組蓋為接收圖1之雙面標靶結構2之物理氣相沈積(PVD)濺鍍反應室100的示意圖。此反應室100包括一反應室主體102定義出一標靶接收區域104及一工作件接收區域106。在某些實施例中,此標靶接收區域104係在此工作件接收區域106的上方,但是熟習本技藝人士皆能明瞭也可以是其他的安排。此標靶接收區域104的大小是設計過的且組態為在其中接收此雙面標靶結構2。此工作件接收區域106的大小也是設計過的且組態為在其中接收例如是基板的工作件130。此工作件130組態為接收由物理氣相沈積(PVD)濺鍍所產生的一層或多層材料。
在某些實施例中,此雙面標靶結構2藉由旋轉機制24a、24b而維持在標靶接收區域104之中。此旋轉機制24a、24b將此雙面標靶結構2以一固定的垂直、水平及高度位置而維持在標靶接收區域104之中且允許此雙面標靶結構2沿著一旋轉軸旋轉。此反應室主體102可以包括一個或多個旋轉元件組態為與此旋轉主體4的旋轉機制24a、24b作用。舉例而言,在此例示實施例中,此旋轉機制24a、24b包括自此旋轉主體4通過此反應室主體102的一部分所定義的標靶接收區域104延伸的旋轉軸26。此反應室主體102可以包括互補的旋轉元件,例如是襯套、軸承、齒輪等而組態為將旋轉機制24a、24b與反應室主體102耦接。這些互補的旋轉元件可以根據此雙面標靶結構2的旋轉機制24a、24b而加以選取。
在某些實施例中,此工作件接收區域106包括一底座110的大小是設計過的且組態為在其中接收工作件130。此底座110將此工作件130安置於距離所選取的濺鍍標靶8a、8b之一預定距離且其係面對於此工作件130。舉例而言,在某些實施例中,此濺鍍標靶8a、8b與此旋轉主體4的向下面6a耦接且位於此工作件130的相對面。此底座110也將此工作件130安置於所選取的濺鍍標靶8a、8b之一預定垂直距離的位置上。
在某些實施例中,此雙面標靶結構2維持在相對於此底座110的一預定垂直位置使得此旋轉主體4可以自一第一方向旋轉至一第二方向而不會接觸及/或與位於此底座110上的一工作件130產生作用。在某些實施例中,介於此雙面標靶結構2與此底座110之間的距離是可以調整的,舉例而言,藉由升高或降低此雙面標靶結構2及/或此底座110以提供此雙面標靶結構2足夠的空間來旋轉。舉例而言,在某些實施例中,此底座110可以垂直地調整其與此雙面標靶結構2的最小距離至大致為此旋轉主體4寬度的一半加上安置於此底座110上的工作件130厚度,但是熟習本技藝人士皆能明瞭也可以是其他的安排以允許在此旋轉主體4與工作件130之間有更大的空間。此底座110可以垂直地降低至此工作件接收區域106的底部。一機械手臂(未示)將一工作件130傳送至此工作件接收區域106中。此底座110被升高以支撐此工作件130且此機械手臂隨後移出。此底座110可以在進行此濺鍍製程之前及/或之後進一步額外調整。在其他的實施例中,此雙面標靶結構2自此標靶接收區域104移開、加以旋轉、再重新放置於此標靶接收區域104。在某些實施例中,介於此雙面標靶結構2與此工作件130之間的距離是根據一物理氣相沈積(PVD)濺鍍製程最合適的距離及/或於一物理氣相沈積(PVD)濺鍍製程進行加以調整來選取的。
在某些實施例中,一驅動機制112安置於其中而與此雙面標靶結構2的旋轉機制24a、24b之一作用。此驅動機制112組態為將此雙面標靶結構2自一第一方向旋轉至一第二方向。此驅動機制112可以包括任何合適的驅動機制112,例如是馬達或是其他機械驅動機制、例如是曲柄的一手動驅動機制及/或其他合適的驅動機制。此驅動機制112與反應室主體102的一外表面耦接,且組態為與此雙面標靶結構2旋轉地耦接。此驅動機制112與此標靶接收區域104藉由反應室主體102的牆面及/或一個或多個互補旋轉元件而加以分隔。
於操作時,此雙面標靶結構2可以使用將此第一濺鍍標靶8a放在此工作件130的相對面以沈積一第一材料層於此工作件130上。於沈積此第一材料層之後,可以旋轉此雙面標靶結構2以將此第二濺鍍標靶8b放在此工作件130的相對面。一第二材料層會被沈積於此第一材料層之上。在其他的實施例中,此第二材料層可以在沈積此第一材料層之前就先沈積(即第一材料層沈積於此第二材料層之上)。此第一及第二材料層是在相同的反應室100中沈積而不需要打開或是與反應室100的內部作用。在某些實施例中,此第一及第二材料層可以依序沈積而不需要在層次間清潔此沈積反應室100。
在某些實施例中,此驅動機制112與一控制器114耦接組態為控制此驅動機制112的操作。此控制器114可以包含任何合適的控制器,例如微控制器、場可程式閘極陣列、特殊應用積體電路(ASIC)、可程式邏輯控制器(PLC)、及/或其他合適的控制器。此控制器114組態為操作此驅動機制112以回應例如是持續時間、所沈積的厚度、一預設沈積輪廓及/或其他合適的沈積條件及/或使用者輸入值等一個或多個預設製程條件來旋轉此雙面標靶結構2。舉例而言,在某些實施例中,此控制器114將此雙面標靶結構2維持在一第一操作條件一第一預定時間。於此第一預定時間之後,此控制器114組態為啟動此驅動機制112將此雙面標靶結構2旋轉至一第二方向。
在某些實施例中,此控制器114組態為以一預設模式將此雙面標靶結構2進行旋轉。舉例而言,在某些實施例中,此控制器114組態為將此雙面標靶結構2維持在此第一濺鍍標靶8a放在此工作件130的相對面之第一方向上。此控制器114於一沈積一預定厚度的第一材料8a於此工作件130上的物理氣相沈積(PVD)濺鍍製程時會維持在此第一方向上一段第一預設沈積時間。於此第一預設沈積時間之後,此控制器114啟動此驅動機制112將此雙面標靶結構2旋轉至一第二方向,此時第二濺鍍標靶8b是放在此工作件130的相對面。此控制器114將此雙面標靶結構2維持在此第二方向上一第二預設沈積時間。於此第二預設沈積時間之後,此控制器114可以啟動此驅動機制112重新將此雙面標靶結構2旋轉回到此第一方向以允許第一濺鍍標靶8a額外的沈積。可以理解的是此控制器114可以組態為將此雙面標靶結構2旋轉任意次以在此工作件130上達成預設的輪廓。
與其他方案比較,此雙面標靶結構2提供一同位沈積製程,其減少製程時間及防止沈積時的微粒汙染,因此增加了可靠性及製程良率。舉例而言,此雙面標靶結構2及其相關的反應室100減少了在每次蝕刻製程間所需要將濺鍍標靶移轉的次數,且減少所需的製程工具數目。在某些實施例中,可以使用單一雙面標靶結構2來進行一個完整的沈積且取代了其他方案中所需要三次或更多濺鍍標靶移轉的次數。此處所揭露的雙面標靶結構2及其相關的反應室進一步提供與其他方案相比更佳的循環時間。舉例而言,在某些實施例中,係使此雙面標靶結構2可以改善其循環時間多達80%之多。
請參閱圖3A~3C,示例性描述依據某些實施例將一雙面標靶結構2a放置在一反應室100a的一標靶接收區域104之示意圖。此雙面標靶結構2a及反應室100a各自與先前所描述的雙面標靶結構2及反應室100類似,且類似的描述在此不再贅述。此雙面標靶結構2a的一第一旋轉機制24a與一旋轉驅動器120耦接。此旋轉驅動器120組態為將此雙面標靶結構2a的旋轉主體4自一第一方向旋轉至一第二方向。在某些實施例中,此旋轉驅動器120包括一驅動器122與旋轉機制24a藉由一耦接機制124而耦接。此耦接機制124可以包括任何合適的耦接機制組態為永久性地及/或可拆卸地將此把手112與此旋轉機制24a耦接。
在某些實施例中,此耦接機制124包括一伺服馬達耦接機制組態為將此驅動器122與伺服馬達(未示)組態為控制此雙面標靶結構2a的旋轉耦接。此耦接機制124可以包括一個或多個齒輪組態為與此伺服馬達耦接。在某些實施例中,此伺服馬達組態為根據一個或個預設沈積模式來控制此雙面標靶結構2a的旋轉。
在某些實施例中,此雙面標靶結構2a包括一平面旋轉部件140,其包括一馬達142及一齒輪桿144。此平面旋轉部件140組態為控制第一磁鐵20a及/或第二磁鐵20b的平面旋轉。磁鐵20a、20b的平面旋轉導致與磁鐵20a、20b耦接的此第一材料8a及/或第二材料8b在由此材料8a、8b之一標靶表面所定義的此平面上之平面旋轉。此標靶材料8a、8b之平面旋轉在一沈積製程中增加了沈積均勻性。在某些實施例中,馬達142是步進馬達組態為將此齒輪桿144在一縱軸上旋轉。此齒輪桿144與耦接至各自的第一及第二磁鐵20a、20b耦接的旋轉元件146a、146b。此齒輪桿144在一縱軸上的旋轉會轉換成各自的材料8a、8b之平面旋轉。雖然此處所示的實施例具有一馬達142及一齒輪桿144,但是熟習本技藝人士皆能明瞭任何其他合適的平面旋轉系統可以組態為於沈積時將此第一材料8a及/或第二材料8b旋轉。
請參閱圖4A~4C,係繪示依據某些實施例之一之雙面濺鍍標靶2b在不同方向上的示意圖。圖4A係繪示此雙面濺鍍標靶2b於例如是物理氣相沈積(PVD)濺鍍製程的一沈積製程中在一具有第一濺鍍標靶8a放置之一第一方向上的示意圖。舉例而言,在某些實施例中,此第一濺鍍標靶8a可以放置於具有一組態為在此沈積製程中形成至少一材料層於其上之工作件之相對(或相反)方向,如同於之前圖2中所討論的。此第一濺鍍標靶8a可以包括任何合適的沈積材料,例如是鈦。
圖4B係繪示此雙面濺鍍標靶2b於一部分旋轉位置的示意圖。此雙面濺鍍標靶2b包括一圓形旋轉主體4a。此雙面濺鍍標靶2b是自圖4A中所示的此第一方向旋轉,雖然圖4B所示是繪示部分旋轉位置,而完全旋轉至此第二方向係顯示於圖4C。在圖4C中所示的此第二方向,一第二濺鍍標靶8b是放置於此工作件之一相反方向。此第二濺鍍標靶8b可以包括任何合適的沈積材料,例如是鋁合金(例如鋁銅)。
在某些實施例中,每一個濺鍍標靶8a、8b可以具有一預設的沈積輪廓組態為沈積各自的沈積材料。舉例而言,如圖4A和圖4C所示,此濺鍍標靶8a、8b可以包括複數個山峰50和凹谷52定義出一預設的沈積輪廓,雖然也可以在此濺鍍標靶8a、8b中加上其他的輪廓特徵以獲得一預設的沈積輪廓。
請參閱圖5,係繪示依據某些實施例的許多具有雙面濺鍍標靶2c-1-2c-4(通稱為雙面濺鍍標靶2c)位於其中之沈積反應室202a-202d的一沈積系統200的示意圖。這些複數個沈積反應室202a-202d係同心的安排於此沈積系統200的一框架204上。每一個沈積反應室202a-202d係放置於此框架204上各自的開口206a-206d處。這些開口206a-206d的大小是設計過的且組態為在其中接收一工作件208a-208d。
在某些實施例中,一提取及放置機制210係位於此框架204上。此提取及放置機制210組態為自一第一舞台區域212a來提取一工作件208a、208b且將此工作件放置在一所選取的複數個沈積反應室202a-202d之中的一預設位置。在某些實施例中,此提取及放置機制210組態為將一工作件208a、208b放在沈積反應室202a-202d之中的底座上(見圖2)。
每一個沈積反應室202a-202d包括一雙面濺鍍標靶結構2c於其中。此雙面濺鍍標靶結構2c係與先前所描述的雙面標靶結構2、2a、2b類似,且類似的描述在此不再贅述。在某些實施例中,每一個雙面濺鍍標靶2c與獨立的驅動機制耦接,其是組態為獨立地將依各自的濺鍍標靶結構2c旋轉。當一工作件208a、208b(圖中未示)被插入所選取的沈積反應室202a-202d之一時,會使用與其相關的濺鍍標靶2c之一來進行一沈積製程。舉例而言,在某些實施例中,會使用與此雙面濺鍍標靶結構2c相關的第一面來形成一第一材料層於此工作件208之上。於此第一材料層沈積之後,將此相關的雙面濺鍍標靶結構2c旋轉,且使用與此雙面濺鍍標靶結構2c相關的一第二面來形成一第二材料層於此工作件208之上。
在某些實施例中,此提取及放置機制210組態為於沈積製程完成之後將一選取之工作件208自所選取的複數個沈積反應室202a-202d之一加以移除。舉例而言,在某些實施例中,此提取及放置機制210組態為將一選取之工作件208自所選取的複數個沈積反應室202a-202d之一取回,且將此工作件208移至一第二舞台區域212b。此提取及放置機制210可藉由一獨立的控制器操作及/或一控制器組態為操作此複數個沈積反應室202a-202d中的一個或多個旋轉機制。
請參閱圖6,係繪示依據某些實施例的使用一雙面沈積標靶進行物理氣相沈積之一方法300流程圖。在步驟302,將一雙面沈積標靶結構2放置於一沈積反應室100之內。此雙面沈積標靶結構2包括一第一濺鍍標靶8a與第一面耦接及一第二濺鍍標靶8b與第二面耦接。此第一及第二濺鍍標靶8a、8b之每一個包括一選取的沈積(或來源)材料。一個或多個旋轉機制24a、24b自此旋轉主體4延伸且與形成於此沈積反應室100之中的互補旋轉元件作用。
在步驟304,一工作件208放置於此沈積反應室100內的一底座110上。此工作件130組態為在一例如是物理氣相沈積的沈積製程中接收一個或多個材料層。在某些實施例中,此工作件130可以由一提取及放置機制210放置。
在步驟306,此雙面沈積標靶結構2放置在一第一方向上。在此第一方向,一第一濺鍍標靶8a係位於此工作件130的相對面(例如面對面)。此第二濺鍍標靶8b係位於遠離此工作件130的第一方向且與其分離。在某些實施例中,此第一濺鍍標靶8a的一面大致定義出一第一平面且此工作件130的一面大致定義出一第二平面。此第一平面和第二平面是平行的。
在步驟308,進行一沈積製程以沈積一第一材料層於此工作件130上。此第一材料層係藉由對第一濺鍍標靶8a上的第一材料進行濺鍍而得。在某些實施例中,此沈積製程沈積此第一材料層至一預定的厚度。
在步驟310,此雙面沈積標靶結構2自此第一方向旋轉至一第二方向上。此第二方向上,此第二濺鍍標靶8b係位於此工作件130的相對面(例如面對面)。在某些實施例中,此雙面沈積標靶結構2係藉由一例如是與此雙面沈積標靶結構2的此旋轉機制24a、24b一個或多個耦接之馬達的驅動機制加以旋轉。在某些實施例中,此雙面沈積標靶結構2係藉由一個例如是與此旋轉機制24a、24b之一耦接之手動旋轉機制加以手動旋轉。此第一濺鍍標靶8a在第二方向上係位於遠離此工作件130且與其分離。
在步驟312,進行一沈積製程以沈積一第二材料層於此工作件130上。此第二材料層係藉由對第二濺鍍標靶8b上的第二材料進行濺鍍而得。在某些實施例中,此沈積製程沈積此第二材料層至一預定的厚度。
在步驟314,此雙面沈積標靶結構2自目前的方向例如是此第二方向旋轉至一相對方向例如是第一方向上。此雙面沈積標靶結構2可以利用任何合適的旋轉機制加以旋轉,例如是之前所描述的驅動機制及/或手動旋轉機制。
在步驟316,進行一沈積製程以沈積一額外的材料層於此工作件130上。此額外材料層係藉由對選取之濺鍍標靶8a、8b(例如所選取的濺鍍標靶係位於此工作件130的相對面)上的材料進行濺鍍而得。此方法300可以重複進行步驟314和316以自第一和第二濺鍍標靶8a、8b的任何組合沈積任何數目的額外層次。
在步驟318,將此工作件130移出此沈積反應室100。此工作件130可以提供至任何沈積反應室組態為沈積額外的材料及/或替代材料層,可以放置於一等待室及/或可以提供額外的製程。在某些實施例中,此工作件130由一提取及放置機制而移出此沈積反應室100。在不同的實施例中,本揭露書提供一濺鍍標靶結構。此濺鍍標靶結構包括一主體,其具有一第一面及一第二面。一第一濺鍍標靶與此主體的第一面耦接。此第一濺鍍標靶包括一第一材料。一第二濺鍍標靶與此主體的第二面耦接。此第二濺鍍標靶包括一第二材料。一旋轉機制與此主體耦接且組態為旋轉此主體。
在不同的實施例中,本揭露書提供一種系統。此系統包括一沈積反應室,其具有一標靶接收區域及一工作件接收區域。此雙面濺鍍標靶結構放置於此標靶接收區域內。此雙面濺鍍標靶結構包括一主體,其具有一第一面及一第二面。一第一濺鍍標靶與此主體的第一面耦接。此第一濺鍍標靶包括一第一材料。一第二濺鍍標靶與此主體的第二面耦接。此第二濺鍍標靶包括一第二材料。一旋轉機制組態為與此雙面濺鍍標靶結構在此沈積反應室內旋轉地耦接。此旋轉機制組態為將此雙面濺鍍標靶結構旋轉。
在不同的實施例中,本揭露書提供一種濺鍍方法。此方法包括於一沈積反應室內利用一雙面濺鍍標靶結構進行沈積。此雙面濺鍍標靶結構包括一主體,在一第一面及一相對的第二面之間延伸,一第一濺鍍標靶與此主體的第一面耦接,及一第二濺鍍標靶與此主體的第二面耦接。此第一濺鍍標靶包括一第一材料且此第二濺鍍標靶包括一第二材料。一工作件放置於此沈積反應室中。此工作件放置在面對此第一濺鍍標靶的相對面。一第一材料層係藉由對此第一濺鍍標靶上的第一材料進行濺鍍而形成於此工作件上。此雙面濺鍍標靶結構旋轉至與此工作件放置在面對之此第二濺鍍標靶的相對面。一第二材料層係藉由對此第二濺鍍標靶上的第二材料進行濺鍍而形成於此工作件上。
前述實施例中描述之諸特徵可使發明所屬領域中具有通常知識者便於理解本說明書之實施態樣,並可利用本說明書為實現相同目的及/或達成相同功效,設計或改進其他製造程序或裝置結構。發明所屬領域中具有通常知識者亦應理解此些均等手法並非脫逸於本說明書所含要旨與範圍之外,且其可在本說明書所含要旨與範圍之內進行變更、置換及改造。
2‧‧‧雙面濺鍍標靶結構
4‧‧‧旋轉主體
6a‧‧‧第一面
6b‧‧‧第二面
8a、8b‧‧‧濺鍍標靶
12‧‧‧凹陷
14‧‧‧邊緣
16‧‧‧孔洞
18‧‧‧阻擋裝置
20a、20b‧‧‧磁性平板
22‧‧‧軸
24a、24b‧‧‧旋轉機制
26‧‧‧孔洞
30‧‧‧旋轉軸
50‧‧‧峰
52‧‧‧谷
100‧‧‧沈積反應室
102‧‧‧反應室主體
104‧‧‧標靶接收區域
106‧‧‧工作件接收區域
110‧‧‧底座
112‧‧‧驅動機制
114‧‧‧控制器
120‧‧‧旋轉驅動器
122‧‧‧驅動器
124‧‧‧耦接機制
130‧‧‧工作件
140‧‧‧旋轉部件
142‧‧‧馬達
144‧‧‧齒輪桿
146a、146b‧‧‧旋轉元件
200‧‧‧沈積系統
202a-202d‧‧‧沈積反應室
204‧‧‧框架
206a-206d‧‧‧開口
208a、208b‧‧‧工作件
210‧‧‧提取及放置機制
212a、212b‧‧‧舞台區域
本發明實施例之各實施態樣可藉一併參照下列實施方式段落內容及各圖示理解。請注意圖示是供說明本說明書所載之代表性實施例,因此並非用以限制本說明書揭露範圍,其可能適用於其他實施例。其次,雖然圖示可描繪實施例其中一或多個組成部分代表不同元件或位置,相同者可整併為單一元件或位置。又,單一組成部分可包括眾組成部分之一結合物。為了便於說明或符合業界實務,圖中顯示的特徵可能並非以精確比例繪示,或其尺寸可能並非精準。本發明實施例所附圖示說明如下:
圖1示例性描述依據某些實施例之一包括一第一濺鍍標靶及一第二濺鍍標靶的雙面標靶之示意圖。
圖2示例性描述依據某些實施例使用圖1之雙面標靶真空沈積反應室的示意圖。
圖3A示例性描述依據某些實施例將圖1中之雙面標靶進行旋轉的一旋轉機制之側面示意圖。
圖3B示例性描述依據某些實施例之圖3A的旋轉機制之剖面示意圖。
圖3C示例性描述依據某些實施例之圖3A的旋轉機制之側視圖。
圖4A~4C繪示依據某些實施例之一之雙面濺鍍標靶在不同方向上的示意圖。
圖5繪示依據某些實施例的許多具有雙面標靶於其中之沈積反應室的一沈積系統的示意圖。
圖6繪示依據某些實施例的使用雙面標靶進行真空沈積之方法流程圖。

Claims (1)

  1. 一種標靶結構,包括: 一主體,其具有一第一面及一相對的第二面; 一第一濺鍍標靶與該主體的該第一面耦接,該第一濺鍍標靶包括一第一材料; 一第二濺鍍標靶與該主體的該第二面耦接,該第二濺鍍標靶包括一第二材料;及 一旋轉機制與該主體耦接,且組態為旋轉該主體。
TW107122620A 2017-06-30 2018-06-29 物理氣相沈積之標靶結構 TW201905227A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762527156P 2017-06-30 2017-06-30
US62/527,156 2017-06-30
US15/882,899 US10718048B2 (en) 2017-06-30 2018-01-29 Target structure of physical vapor deposition
US15/882,899 2018-01-29

Publications (1)

Publication Number Publication Date
TW201905227A true TW201905227A (zh) 2019-02-01

Family

ID=64734776

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107122620A TW201905227A (zh) 2017-06-30 2018-06-29 物理氣相沈積之標靶結構

Country Status (3)

Country Link
US (3) US10718048B2 (zh)
CN (1) CN109207940A (zh)
TW (1) TW201905227A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10718048B2 (en) * 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Target structure of physical vapor deposition
US11238580B2 (en) 2019-08-29 2022-02-01 Varian Medical Systems International Ag Systems and methods for image cropping and anatomical structure segmentation in medical imaging
CN111155062B (zh) * 2019-12-31 2021-12-03 中山市博顿光电科技有限公司 靶材旋转结构、靶材安装结构以及离子源溅射系统

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USRE32849E (en) * 1978-04-13 1989-01-31 Litton Systems, Inc. Method for fabricating multi-layer optical films
US4820393A (en) * 1987-05-11 1989-04-11 Tosoh Smd, Inc. Titanium nitride sputter targets
US5322606A (en) * 1991-12-26 1994-06-21 Xerox Corporation Use of rotary solenoid as a shutter actuator on a rotating arm
US6030511A (en) * 1995-02-03 2000-02-29 Nec Corporation Collimated sputtering method and system used therefor
US6031511A (en) 1997-06-10 2000-02-29 Deluca; Michael J. Multiple wave guide phosphorous display
US6224718B1 (en) * 1999-07-14 2001-05-01 Veeco Instruments, Inc. Target assembly for ion beam sputter deposition with multiple paddles each having targets on both sides
JP2003147519A (ja) * 2001-11-05 2003-05-21 Anelva Corp スパッタリング装置
TWI359872B (en) * 2007-11-15 2012-03-11 Wintek Corp Magnetron sputter cathode mechanism
US10718048B2 (en) * 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Target structure of physical vapor deposition

Also Published As

Publication number Publication date
CN109207940A (zh) 2019-01-15
US20200347491A1 (en) 2020-11-05
US11649543B2 (en) 2023-05-16
US11268186B2 (en) 2022-03-08
US20190003037A1 (en) 2019-01-03
US10718048B2 (en) 2020-07-21
US20220162744A1 (en) 2022-05-26

Similar Documents

Publication Publication Date Title
US11649543B2 (en) Target structure of physical vapor deposition
JP6896754B2 (ja) 物理的気相堆積プロセスにおけるイオン分画を制御するための方法および装置
JP7066626B2 (ja) 基板を処理するための方法および装置
JP6916789B2 (ja) マルチターゲットを同時スパッタリングするための方法および装置
EP3862460A1 (en) Sputtering target with backside cooling grooves
CN1900351B (zh) 单处理室淀积系统
JP6966227B2 (ja) 成膜装置、成膜製品の製造方法及び電子部品の製造方法
KR20070085311A (ko) 조정가능한 타깃을 가지는 물리적 증착 챔버
US7556718B2 (en) Highly ionized PVD with moving magnetic field envelope for uniform coverage of feature structure and wafer
TW201432079A (zh) 用於物理氣相沉積的射頻直流開放/封閉迴路可選式之磁控管
JP2019099882A (ja) Pvd処理方法およびpvd処理装置
JP2009041040A (ja) 真空蒸着方法および真空蒸着装置
US20130101749A1 (en) Method and Apparatus for Enhanced Film Uniformity
JP5731085B2 (ja) 成膜装置
WO2012154682A1 (en) Combinatorial and full substrate sputter deposition tool and method
US8920618B2 (en) Combinatorial processing using high deposition rate sputtering
KR20200045414A (ko) 산화 처리 모듈, 기판 처리 시스템 및 산화 처리 방법
US20140174911A1 (en) Methods and Systems for Reducing Particles During Physical Vapor Deposition
JP2005232554A (ja) スパッタ装置
TWI673797B (zh) 製程零件、半導體製造設備及半導體製造方法
JP2009191310A (ja) マルチターゲットスパッタリング装置
US20140174907A1 (en) High Deposition Rate Chamber with Co-Sputtering Capabilities
US20140174914A1 (en) Methods and Systems for Reducing Particles During Physical Vapor Deposition
JP4471767B2 (ja) スパッタ装置及びそれを用いたスパッタ方法
US20130146451A1 (en) Magnetic Confinement and Directionally Driven Ionized Sputtered Films For Combinatorial Processing