TW201903841A - Methods and structures to reduce contact resistance for finfet devices - Google Patents

Methods and structures to reduce contact resistance for finfet devices Download PDF

Info

Publication number
TW201903841A
TW201903841A TW107113498A TW107113498A TW201903841A TW 201903841 A TW201903841 A TW 201903841A TW 107113498 A TW107113498 A TW 107113498A TW 107113498 A TW107113498 A TW 107113498A TW 201903841 A TW201903841 A TW 201903841A
Authority
TW
Taiwan
Prior art keywords
semiconductor
forming
substrate
deposition
semiconductor structure
Prior art date
Application number
TW107113498A
Other languages
Chinese (zh)
Other versions
TWI778048B (en
Inventor
高拉夫 塔瑞加
吉鏞 李
Original Assignee
美商微材料有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商微材料有限責任公司 filed Critical 美商微材料有限責任公司
Publication of TW201903841A publication Critical patent/TW201903841A/en
Application granted granted Critical
Publication of TWI778048B publication Critical patent/TWI778048B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials

Abstract

Processing methods may be performed to form semiconductor structures that may include structures to reduce contact resistance. The methods may include depositing a first semiconductor material on a semiconductor substrate. The first semiconductor material may be selectively deposited on a first silicon-containing material relative to a second silicon-containing material. The methods may also include depositing a second semiconductor material on the semiconductor substrate. The second semiconductor material may be selectively deposited on the second silicon-containing material relative to the first semiconductor material.

Description

降低鰭式場效電晶體裝置的接觸電阻的方法及結構Method and structure for reducing contact resistance of fin field effect transistor device

本技術係關於半導體系統、處理、及裝備。更具體而言,本技術係關於用於在半導體裝置上選擇性形成材料層的系統及方法。This technology relates to semiconductor systems, processing, and equipment. More specifically, the present technology relates to systems and methods for selectively forming a layer of material on a semiconductor device.

可能藉由在基板表面上產生錯綜複雜圖案化的材料層的處理來製成積體電路。在基板上產生圖案化的材料需要用於移除暴露的材料的控制方法。化學蝕刻係用於多種目的,包括將光抗蝕劑中的圖案轉移到底下的層中、減薄層、或已存在於表面上的特徵的減薄橫向尺寸。通常期望具有蝕刻一種材料比另一種更快的蝕刻處理,以促進例如圖案轉移處理或單獨材料移除。據說此種蝕刻處理對於第一材料具有選擇性。由於材料、電路、及處理的多樣性,已開發對多種材料具有選擇性的蝕刻處理。然而,通常使用毯覆塗層或保形填充而繼續跨越基板而執行沉積處理。It is possible to fabricate an integrated circuit by processing a layer of intricately patterned material on the surface of the substrate. Producing a patterned material on a substrate requires a control method for removing the exposed material. Chemical etching is used for a variety of purposes, including transferring the pattern in the photoresist into the underlying layer, thinning the layer, or the thinned lateral dimension of features already present on the surface. It is generally desirable to have an etching process that etches one material faster than the other to facilitate, for example, pattern transfer processing or separate material removal. This etching process is said to be selective for the first material. Due to the variety of materials, circuits, and processes, etching processes have been developed that are selective for a variety of materials. However, the deposition process is typically performed using a blanket coating or conformal fill to continue across the substrate.

隨著裝置尺寸在下一代裝置中持續縮小,當形成於特定層中的材料只有幾奈米時,選擇性可以發揮更大的作用(特別是當材料為電晶體形成中的關鍵時)。各種材料之間已開發許多不同的蝕刻處理選擇性,但是標準選擇性可能不再適用於當前及未來的裝置規模。此外,基於形成及保護跨越裝置的特徵的各種關鍵尺寸所需的遮罩、形成、及移除操作的數量,處理的佇列時間繼續增加,同時在基板上的其他處執行圖案化及形成。As device sizes continue to shrink in next-generation devices, selectivity can play a greater role when the material formed in a particular layer is only a few nanometers (especially when the material is critical in the formation of the transistor). Many different etch processing options have been developed between various materials, but standard selectivity may no longer be applicable to current and future device sizes. Moreover, based on the number of masking, forming, and removing operations required to form and protect the various critical dimensions of the features across the device, the processing time continues to increase while patterning and formation is performed elsewhere on the substrate.

因此,需要一種可用於生產高品質的裝置及結構改善的系統及方法。本技術解決了這些及其他需求。Therefore, there is a need for a system and method that can be used to produce high quality devices and structural improvements. This technology addresses these and other needs.

可以執行處理方法來形成可包括減少接觸電阻的結構的半導體結構。該方法可包括以下步驟:在半導體基板上沉積第一半導體材料。第一半導體材料可以相對於第二含矽材料而選擇性沉積在第一含矽材料上。該等方法亦可包括以下步驟:在半導體基板上沉積第二半導體材料。第二半導體材料可以相對於第一半導體材料而選擇性沉積在第二含矽材料上。A processing method can be performed to form a semiconductor structure that can include a structure that reduces contact resistance. The method can include the step of depositing a first semiconductor material on a semiconductor substrate. The first semiconductor material can be selectively deposited on the first ruthenium-containing material relative to the second ruthenium-containing material. The methods can also include the step of depositing a second semiconductor material on the semiconductor substrate. The second semiconductor material can be selectively deposited on the second ruthenium containing material relative to the first semiconductor material.

在一些實施例中,第一半導體材料可以相對於半導體基板的NMOS區域而沉積在半導體基板的PMOS區域上。第一半導體材料可以包括鍺,而第一含矽材料可以包括鍺化矽。第二含矽材料可以包括磷。該方法可以在不進行活性離子蝕刻操作的情況下執行。可以利用第一含矽材料相對於第二含矽材料大於或約2:1的選擇性來執行第一半導體材料沉積。沉積可以相對於半導體基板的PMOS區域而發生在半導體基板的NMOS區域上。可以執行該方法,而並未在PMOS區域上形成光阻。第一半導體材料可以包括銦,而第一含矽材料可以包括磷化矽。第二含矽材料可以包括鍺。第一半導體材料可以是砷化銦鎵,或包括砷化銦鎵。In some embodiments, the first semiconductor material can be deposited on the PMOS region of the semiconductor substrate relative to the NMOS region of the semiconductor substrate. The first semiconductor material may include germanium, and the first germanium-containing material may include germanium germanium. The second cerium-containing material may include phosphorus. The method can be performed without performing a reactive ion etching operation. The deposition of the first semiconductor material can be performed using a selectivity of the first ruthenium containing material greater than or about 2: 1 relative to the second ruthenium containing material. The deposition may occur on the NMOS region of the semiconductor substrate relative to the PMOS region of the semiconductor substrate. This method can be performed without forming a photoresist on the PMOS region. The first semiconductor material may include indium, and the first germanium-containing material may include germanium phosphide. The second cerium-containing material may include cerium. The first semiconductor material can be indium gallium arsenide or include indium gallium arsenide.

附加地,本技術亦包括一種形成半導體結構的方法。該方法可包括以下步驟:在第一半導體材料上沉積第一合金材料。合金材料可以相對於氮化物材料或氧化物材料而選擇性沉積於第一半導體材料上。該方法亦可以包括以下步驟:隨後在第二半導體材料上沉積第二合金材料。第二合金材料可以相對於氮化物材料或氧化物材料而選擇性沉積在第二半導體材料上。Additionally, the present technology also includes a method of forming a semiconductor structure. The method can include the step of depositing a first alloy material on the first semiconductor material. The alloy material can be selectively deposited on the first semiconductor material relative to the nitride material or oxide material. The method can also include the step of subsequently depositing a second alloy material on the second semiconductor material. The second alloy material can be selectively deposited on the second semiconductor material relative to the nitride material or oxide material.

在一些實施例中,第一合金材料可以沉積在位於半導體基板的NMOS區域中的含銦材料上。第二合金材料可以沉積在位於半導體基板的PMOS區域中的含鍺材料上。氮化物材料可以包括氮化矽,而氧化物材料可以包括氧化矽、碳氧化矽、或金屬氧化物。金屬氧化物可以是氧化鎢或氧化鋁,或包括氧化鎢或氧化鋁。該方法可以在不進行活性離子蝕刻操作的情況下執行。第二合金材料可以是含鎳材料,或包括含鎳材料。第二合金材料可以是鎳矽鍺,或包括鎳矽鍺。第一合金材料可以是含鈦材料,或包括含鈦材料。第一合金材料可以是鈦矽氮化物,或包括鈦矽氮化物。In some embodiments, the first alloy material can be deposited on the indium containing material located in the NMOS region of the semiconductor substrate. The second alloy material may be deposited on the ruthenium containing material in the PMOS region of the semiconductor substrate. The nitride material may include tantalum nitride, and the oxide material may include tantalum oxide, tantalum carbonoxide, or a metal oxide. The metal oxide may be tungsten oxide or aluminum oxide or include tungsten oxide or aluminum oxide. The method can be performed without performing a reactive ion etching operation. The second alloy material may be a nickel-containing material or include a nickel-containing material. The second alloy material may be nickel ruthenium or nickel ruthenium. The first alloy material may be a titanium-containing material or include a titanium-containing material. The first alloy material may be titanium niobium nitride or include titanium niobium nitride.

此類技術可以提供優於習知系統及技術的許多益處。舉例而言,處理可以藉由利用不包括活性離子蝕刻的技術來保護關鍵尺寸,並提供改善的選擇性。此外,藉由執行選擇性操作,可以執行更少的遮罩及移除操作,這可以顯著減少製造佇列時間。結合以下描述及隨附圖式,更詳細地描述這些及其他實施例以及其許多優點及特徵。Such techniques can provide many benefits over conventional systems and techniques. For example, processing can protect critical dimensions and provide improved selectivity by utilizing techniques that do not include reactive ion etching. In addition, by performing selective operations, fewer masking and removal operations can be performed, which can significantly reduce manufacturing queue time. These and other embodiments, as well as many of its advantages and features, are described in more detail in conjunction with the following description and accompanying drawings.

本發明的技術包括用於小節距特徵的半導體處理的系統及部件。在傳統的矽化處理中,可以利用光阻阻擋PMOS區域與NMOS區域,而處理其他區域。舉例而言,因為跨越基板的幾種材料可以由類似的材料形成(例如,氮化矽或氧化矽),所以用於移除這些材料以及移除在區域內形成的材料的蝕刻處理可能無法提供相對於其他關鍵特徵的足夠的選擇性。在各種打開處理期間,多個臨界尺寸的大小可能造成負載效應,而蝕刻超過材料的預算可用性。舉例而言,傳統處理可以包括遮罩層,隨後是允許間隙填充層的結構的開口的活性離子蝕刻(「RIE」)處理。儘管RIE蝕刻係為相對各向異性處理,但是RIE蝕刻仍可能具有造成側壁損失的選擇性。儘管可能考慮對於形成期間的此損失進行預算(例如,利用材料的過度形成),但是因為所蝕刻的結構內的區域具有不同的尺寸,因此針對一個區域的損失量的計算可能並不適合針對更大區域的損失量。因此,儘管在預算的一個區段中可能出現5nm的損失,但是仍可能出現6-7nm的較大區段的損失,而造成製造期間的不匹配。The techniques of the present invention include systems and components for semiconductor processing of small pitch features. In the conventional deuteration process, the PMOS region and the NMOS region can be blocked by the photoresist while other regions are processed. For example, because several materials spanning the substrate can be formed from similar materials (eg, tantalum nitride or tantalum oxide), etching processes for removing these materials and removing materials formed within the regions may not provide Sufficient selectivity relative to other key features. During various open processes, the size of multiple critical dimensions may cause load effects, while etching exceeds the budget availability of materials. For example, conventional processing can include a masking layer followed by a reactive ion etching ("RIE") process that allows openings in the structure of the gap-filling layer. Although the RIE etch is a relatively anisotropic process, the RIE etch may still have selectivity for sidewall loss. Although it may be considered to budget for this loss during formation (eg, with over-formation of materials), because the regions within the etched structure have different sizes, the calculation of the amount of loss for one region may not be suitable for larger The amount of loss in the area. Thus, although a 5 nm loss may occur in one section of the budget, a loss of a larger section of 6-7 nm may still occur, resulting in a mismatch during manufacturing.

此外,RIE處理產生蝕刻副產物或聚合物殘留物(通常利用濕式蝕刻處理移除)。此濕式蝕刻經常將側壁保護層過度蝕刻而超過臨界尺寸(這會造成相鄰電晶體層的形成及間隔問題),並進一步蝕刻低k氮化物間隔物以及層間介電氧化物。此外,通常利用各向異性蝕刻進行金屬材料與介電質的移除,除非形成附加遮罩或保護層,否則可能進一步減少其他區域中的蓋材料與間隔物材料的暴露區域。由於這種RIE移除的選擇性可能在10:1的範圍內,因此所需的遮罩量可能很大。In addition, the RIE process produces etch byproducts or polymer residues (usually removed using a wet etch process). This wet etch often over-etches the sidewall protection layer beyond the critical dimension (which causes problems with the formation and spacing of adjacent transistor layers) and further etches the low-k nitride spacers and the interlayer dielectric oxide. In addition, the removal of metallic materials and dielectrics is typically performed using an anisotropic etch, and unless additional masks or protective layers are formed, it is possible to further reduce the exposed areas of the capping material and spacer material in other regions. Since the selectivity of such RIE removal may be in the range of 10:1, the amount of masking required may be large.

在利用跨越半導體基板上的所有暴露區域的材料的毯覆塗層或材料的共形發生的習知技術中,可以進行遮罩材料與其他材料層二者的沉積。該等類型的沉積可能需要進一步的圖案化與移除操作,這會顯著增加裝置製造的佇列時間。在RIE移除的附加操作及缺陷與習知沉積中使用的多種操作之間,單獨裝置層的佇列時間可能增加幾小時。The deposition of both the masking material and other layers of material can be performed in conventional techniques that utilize the blanket coating or conformalization of materials across all exposed areas of the semiconductor substrate. These types of deposition may require further patterning and removal operations, which can significantly increase the queue time of device fabrication. Between the additional operations and defects of the RIE removal and the various operations used in conventional deposition, the stacking time of the individual device layers may increase by several hours.

本技術藉由修改用於移除及形成的處理而克服這些問題。藉由在特定裝備中執行選擇性沉積操作,可以在結構形成中利用經減少的遮罩、圖案化、及移除。此外,也可以選擇性完成可以執行的移除操作。藉由使用選擇性沉積及利用替代蝕刻來移除許多圖案化操作,相較於利用RIE與標準沉積的習知處理,該等處理可以節省數小時。The present technology overcomes these problems by modifying the processing for removal and formation. Reduced masking, patterning, and removal can be utilized in structure formation by performing selective deposition operations in specific equipment. In addition, it is also possible to selectively perform a removal operation that can be performed. By using selective deposition and using alternative etching to remove many of the patterning operations, such processing can save hours compared to conventional processing using RIE and standard deposition.

儘管其餘的揭示將常規地識別利用所揭示的技術的特定的蝕刻及沉積處理,但應理解,系統及方法同樣適用於所描述的腔室中可能發生的各種其他的蝕刻、沉積、及清潔處理。因此,該技術不應視為受限於僅能用於所述的蝕刻及沉積處理。本揭示將討論可以與本技術一起使用的一個可能的系統及腔室,以在根據本技術的示例性處理序列的所描述操作之前執行某些移除及沉積操作。While the remainder of the disclosure will routinely identify particular etching and deposition processes utilizing the disclosed techniques, it should be understood that the systems and methods are equally applicable to various other etching, deposition, and cleaning processes that may occur in the described chambers. . Therefore, the technique should not be considered limited to the etching and deposition processes that can be used only. The present disclosure will discuss one possible system and chamber that can be used with the present technology to perform certain removal and deposition operations prior to the described operations of the exemplary processing sequences in accordance with the present technology.

1 圖示根據實施例的沉積、蝕刻、烘焙、及固化腔室的處理系統100的一個實施例的頂視平面圖。在圖式中,一對前開式晶圓盒(FOUP)102供應各種尺寸的基板,各種尺寸的基板係由機器臂104接收,並在放置到位於串聯區段109a-c中的基板處理腔室108a-f中之一者之前,放置到低壓托持區域106中。第二機器臂110可用於將基板晶圓從托持區域106運輸到基板處理腔室108a-f並返回。除了循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、濕式蝕刻、預清潔、脫氣、定向、及其他基板處理之外,可以配備每一基板處理腔室108a-f,以執行包括本文所述的乾式蝕刻處理及選擇性沉積的大量基板處理操作。A top plan view of FIG. 1 illustrates a first embodiment in accordance with one embodiment of the processing system embodiment of deposition, etching, baking, and curing chamber 100. In the drawings, a pair of front open pods (FOUPs) 102 are supplied with substrates of various sizes, which are received by robotic arms 104 and placed in a substrate processing chamber located in series sections 109a-c. Prior to one of 108a-f, it is placed into the low pressure holding area 106. The second robotic arm 110 can be used to transport substrate wafers from the holding area 106 to the substrate processing chambers 108a-f and back. In addition to cyclic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), wet etching, pre-cleaning, degassing, orientation, and other substrate processing, Each of the substrate processing chambers 108a-f can be provided to perform a number of substrate processing operations including dry etching processes and selective deposition as described herein.

基板處理腔室108a-f可包括用於沉積、退火、固化、及/或蝕刻基板晶圓上的介電膜的一或更多個系統部件。在一個配置中,可以使用兩對處理腔室(例如,108c-d與108e-f),以在基板上沉積介電材料或含金屬材料,而第三對處理腔室(例如108a-b)可以用於蝕刻所沉積的介電質。在另一配置中,所有三對腔室(例如,108a-f)可經配置以蝕刻基板上的介電膜。可以在與不同實施例中所示的製造系統分離的腔室中執行所述的任何一或更多個處理。The substrate processing chambers 108a-f can include one or more system components for depositing, annealing, curing, and/or etching a dielectric film on a substrate wafer. In one configuration, two pairs of processing chambers (eg, 108c-d and 108e-f) can be used to deposit a dielectric material or metal-containing material on the substrate, while a third pair of processing chambers (eg, 108a-b) It can be used to etch the deposited dielectric. In another configuration, all three pairs of chambers (eg, 108a-f) can be configured to etch a dielectric film on the substrate. Any one or more of the processes described may be performed in a chamber separate from the manufacturing system shown in the different embodiments.

在一些實施例中,腔室具體包括如下所述的至少一個蝕刻腔室以及如下所述的至少一個沉積腔室。藉由包括該等腔室並組合工廠介面的處理側,可以在受控環境中執行以下所述的所有蝕刻及沉積處理。舉例而言,在托持區域106的處理側可以維持真空環境,而使得在實施例中的所有腔室及轉移均維持在真空下。此舉亦可限制水蒸氣及其他空氣成分接觸處理中的基板。應理解,系統100可以考慮用於介電膜的沉積、蝕刻、退火、及固化腔室的附加配置。In some embodiments, the chamber specifically includes at least one etch chamber as described below and at least one deposition chamber as described below. All of the etching and deposition processes described below can be performed in a controlled environment by including the chambers and combining the processing sides of the factory interface. For example, the vacuum environment can be maintained on the processing side of the holding area 106 such that all of the chambers and transfers in the embodiment are maintained under vacuum. This also limits the contact of water vapor and other air components with the substrate being processed. It should be understood that system 100 can take into account additional configurations for deposition, etching, annealing, and curing of dielectric films.

2A 圖示在處理腔室內具有分隔的電漿產生區域的示例性處理腔室系統200的橫截面圖。在膜蝕刻期間(例如,氮化鈦、氮化鉭、鎢、鈷、氧化鋁、氧化鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等),處理氣體可以經由氣體入口組件205流入第一電漿區域215。遠端電漿系統(RPS)201可以可選擇地包括在系統中,並且可以處理隨後行進穿過氣體入口組件205的第一氣體。入口組件205可以包括二或更多個不同的氣體供應通道,其中若包括第二通道(未圖示),則第二通道可以繞過RPS 201。 Figure 2A illustrates a plasma generator having a separated cross-sectional view of an exemplary process chamber system 200 in the processing region of the chamber. During the film etching (for example, titanium nitride, tantalum nitride, tungsten, cobalt, aluminum oxide, tungsten oxide, tantalum, polycrystalline germanium, antimony oxide, antimony nitride, antimony oxynitride, antimony oxyhydroxide, etc.), the processing gas can be passed through The gas inlet assembly 205 flows into the first plasma region 215. A remote plasma system (RPS) 201 can optionally be included in the system and can process the first gas that subsequently travels through the gas inlet assembly 205. The inlet assembly 205 can include two or more different gas supply passages, wherein if a second passage (not shown) is included, the second passage can bypass the RPS 201.

圖示冷卻板203、面板217、離子消除器223、噴淋頭225、及具有基板255設置其上的基板支撐件265,且每一者可以根據實施例而被包括。台座265可以具有熱交換通道,熱交換流體流經熱交換通道以控制基板的溫度,可在處理操作期間操作基板的溫度,以加熱及/或冷卻基板或晶圓。亦可以使用嵌入式電阻加熱器元件而電阻加熱可以包含鋁、陶瓷、或其組合的台座265的晶圓支撐盤,以實現相對高的溫度,例如從高達或約100℃至高於或約1100℃。A cooling plate 203, a panel 217, an ion eliminator 223, a showerhead 225, and a substrate support 265 having a substrate 255 disposed thereon are illustrated, and each may be included in accordance with an embodiment. The pedestal 265 can have a heat exchange channel through which the heat exchange fluid flows to control the temperature of the substrate, and the temperature of the substrate can be manipulated during processing operations to heat and/or cool the substrate or wafer. It is also possible to use an embedded resistive heater element while resistively heating a wafer support disk of pedestal 265 comprising aluminum, ceramic, or a combination thereof to achieve relatively high temperatures, for example from up to or about 100 ° C to above or about 1100 ° C. .

面板217可以是金字塔形、圓錐形、或具有窄的頂部部分擴展到寬的底部部分的其他類似結構。如圖所示,附加地,面板217可以是平坦的,並包括用於分配處理氣體的複數個貫通通道。取決於RPS 201的使用,電漿產生氣體及/或電漿激發物質可以穿過面板217中如第2B圖所示的複數個孔洞,以更均勻地遞送到第一電漿區域215中。Panel 217 may be pyramidal, conical, or other similar structure having a narrow top portion that extends to a wide bottom portion. Additionally, panel 217 may be flat and include a plurality of through passages for dispensing process gases, as shown. Depending on the use of the RPS 201, the plasma generating gas and/or the plasma exciting material may pass through a plurality of holes in the panel 217 as shown in FIG. 2B for more uniform delivery into the first plasma region 215.

示例性配置可以包括氣體入口組件205通入由面板217與第一電漿區域215分隔的氣體供應區域258,而使得氣體/物質流經面板217中的孔洞而進入第一電漿區域215。可以選擇結構及操作特徵,以防止來自第一電漿區域215的電漿大量回流到供應區域258、氣體入口組件205、及流體供應系統210中。位於特徵之間的絕緣環220與面板217、或者腔室的導電頂部部分以及噴淋頭225一起示出,以允許相對於噴淋頭225及/或離子消除器223而將AC電位施加到面板217。絕緣環220可以定位於面板217與噴淋頭225及/或離子消除器223之間,以讓電容耦合電漿(CCP)能夠在第一電漿區域中形成。附加地,擋板(未圖示)可以位於第一電漿區域215中,或者另外與氣體入口組件205耦接,以影響流體經由氣體入口組件205進入區域的流動。An exemplary configuration may include the gas inlet assembly 205 passing into a gas supply region 258 separated by a panel 217 from the first plasma region 215 such that gas/substance flows through the holes in the panel 217 into the first plasma region 215. Structural and operational features may be selected to prevent large amounts of plasma from the first plasma region 215 from flowing back into the supply region 258, the gas inlet assembly 205, and the fluid supply system 210. The insulating ring 220 between the features is shown with the panel 217, or the electrically conductive top portion of the chamber, and the showerhead 225 to allow an AC potential to be applied to the panel relative to the showerhead 225 and/or the ion eliminator 223. 217. Insulation ring 220 can be positioned between panel 217 and showerhead 225 and/or ion eliminator 223 to allow capacitive coupling plasma (CCP) to be formed in the first plasma region. Additionally, a baffle (not shown) may be located in the first plasma region 215 or otherwise coupled to the gas inlet assembly 205 to affect the flow of fluid into the region via the gas inlet assembly 205.

離子消除器223可以包含定義貫穿結構的複數個孔隙的板狀或其他幾何形狀,複數個孔隙經配置以消除離開第一電漿區域215的離子帶電物質的遷移,同時允許不帶電荷的中性或自由基物質穿過離子消除器223進入消除器與噴淋頭之間的活性氣體遞送區域。在實施例中,離子消除器223可以包含具有各種孔隙配置的多孔板。這些不帶電荷的物質可以包括利用活性較低氣體載體運輸穿過孔隙的高活性物質。如上所述,離子物質經由孔洞的遷移可能減少,並在一些情況下完全消除。控制穿過離子消除器223的離子物質的量可以有利地提供增加對於與底下的晶圓基板接觸的氣體混合物的控制,這又可以增加對氣體混合物的沉積及/或蝕刻特性的控制。舉例而言,氣體混合物的離子濃度的調整可以顯著改變其蝕刻選擇性,例如,SiNx:SiOx蝕刻率、Si:SiOx蝕刻率等。在執行沉積的可替代實施例中,亦可以改變介電材料的共形流動式沉積的平衡。Ion eliminator 223 can include a plate or other geometry defining a plurality of pores throughout the structure, the plurality of pores being configured to eliminate migration of ionically charged species exiting first plasma region 215 while allowing uncharged neutrality Or the radical species pass through the ion eliminator 223 into the active gas delivery zone between the eliminator and the showerhead. In an embodiment, the ion eliminator 223 can comprise a multiwell plate having various pore configurations. These uncharged species can include highly active materials that are transported through the pores using less active gas carriers. As noted above, migration of ionic species through the pores may be reduced and, in some cases, completely eliminated. Controlling the amount of ionic species passing through the ion eliminator 223 can advantageously provide increased control of the gas mixture in contact with the underlying wafer substrate, which in turn can increase control of deposition and/or etch characteristics of the gas mixture. For example, the adjustment of the ion concentration of the gas mixture can significantly change its etch selectivity, for example, SiNx: SiOx etch rate, Si: SiOx etch rate, and the like. In an alternative embodiment of performing deposition, the balance of conformal flow deposition of the dielectric material can also be altered.

離子消除器223中的複數個孔隙可經配置以控制活性氣體(亦即,離子、自由基、及/或中性物質)經由離子消除器223的通路。舉例而言,可以控制孔洞的高寬比、或孔洞直徑對長度、及/或孔洞的幾何形狀,而使得穿過離子消除器223的活性氣體中的離子帶電物質的流動減少。離子消除器223中的孔洞可以包括面對電漿激發區域215的錐形部分以及面對噴淋頭225的圓柱形部分。圓柱形部分可以成形及定尺寸,以控制傳到噴淋頭225的離子物質的流動。作為控制離子物質經由消除器的流動的附加手段,亦可以將可調整的電偏壓施加到離子消除器223。The plurality of apertures in ion eliminator 223 can be configured to control the passage of reactive gases (ie, ions, free radicals, and/or neutral species) via ion eliminator 223. For example, the aspect ratio of the holes, or the diameter of the holes to the length, and/or the geometry of the holes can be controlled such that the flow of ionically charged species in the reactive gas passing through the ion eliminator 223 is reduced. The holes in the ion eliminator 223 may include a tapered portion facing the plasma excitation region 215 and a cylindrical portion facing the showerhead 225. The cylindrical portion can be shaped and sized to control the flow of ionic species to the showerhead 225. An adjustable electrical bias can also be applied to the ion eliminator 223 as an additional means of controlling the flow of ionic species through the eliminator.

離子消除器223可以用於減少或消除從電漿產生區域行進到基板的離子帶電物質的量。不帶電的中性及自由基物質仍然可以穿過離子消除器中的開口而與基板反應。應注意,在實施例中,可以不執行在環繞基板的反應區域中的離子帶電物質的完全消除。在某些情況下,離子物質意欲到達基板,以執行蝕刻及/或沉積處理。在這些情況下,離子消除器可以幫助將反應區域中的離子物質濃度控制在有助於處理的位準處。Ion eliminator 223 can be used to reduce or eliminate the amount of ionically charged species traveling from the plasma generating region to the substrate. Uncharged neutral and free radical species can still pass through the openings in the ion eliminator to react with the substrate. It should be noted that in an embodiment, complete elimination of the ionically charged species in the reaction zone surrounding the substrate may not be performed. In some cases, the ionic species are intended to reach the substrate to perform an etching and/or deposition process. In these cases, the ion eliminator can help control the concentration of ionic species in the reaction zone at a level that facilitates processing.

與離子消除器223組合的噴淋頭225可以允許存在於第一電漿區域215的電漿,以避免在基板處理區域233中直接激發氣體,同時仍允許激發物質從腔室電漿區域215行進到基板處理區域233。以此方式,腔室可經配置以防止電漿接觸蝕刻中的基板255。此舉可以有利地保護基板上圖案化的各種複雜結構及膜,若直接與所產生的電漿接觸,則各種複雜結構及膜可能損傷、移位、或以其他方式彎曲。此外,當允許電漿接觸基板或接近基板層級時,可能增加氧化物物質蝕刻的速率。因此,若材料的暴露區域為氧化物,則可以藉由遠離基板維持電漿來進一步保護此材料。The showerhead 225 in combination with the ion eliminator 223 can allow plasma present in the first plasma region 215 to avoid direct excitation of gas in the substrate processing region 233 while still allowing the excited species to travel from the chamber plasma region 215. Go to substrate processing area 233. In this manner, the chamber can be configured to prevent plasma from contacting the substrate 255 in the etch. This can advantageously protect the various complex structures and films patterned on the substrate, and various complex structures and films can be damaged, displaced, or otherwise bent if directly in contact with the plasma produced. Furthermore, the rate at which the oxide species is etched may be increased when the plasma is allowed to contact the substrate or near the substrate level. Thus, if the exposed area of the material is an oxide, the material can be further protected by maintaining the plasma away from the substrate.

處理系統可以進一步包括與處理腔室電耦接的功率供應器240,以提供電功率到面板217、離子消除器223、噴淋頭225、及/或台座265,以在第一電漿區域215或處理區域233中產生電漿。取決於所執行的處理,功率供應器可經配置以向腔室遞送可調整量的功率。這種配置可以允許可調諧電漿用於執行中的處理。與通常呈現為具有開啟或關閉功能的遠端電漿單元不同,可調諧電漿可經配置以向電漿區域215遞送特定量的功率。此舉又可以允許形成特定的電漿特性,而使得前驅物可以利用特定方式解離,以增強由這些前驅物產生的蝕刻輪廓。The processing system can further include a power supply 240 electrically coupled to the processing chamber to provide electrical power to the panel 217, the ion eliminator 223, the showerhead 225, and/or the pedestal 265 to be in the first plasma region 215 or Plasma is generated in the treatment zone 233. Depending on the processing performed, the power supply can be configured to deliver an adjustable amount of power to the chamber. This configuration can allow tunable plasma for processing in execution. Unlike a remote plasma unit that is typically presented with an on or off function, the tunable plasma can be configured to deliver a specific amount of power to the plasma region 215. This in turn may allow for the formation of specific plasma characteristics such that the precursors may be dissociated in a particular manner to enhance the etch profile created by these precursors.

可以在噴淋頭225上方的腔室電漿區域215或噴淋頭225下方的基板處理區域233中激發電漿。在實施例中,形成於基板處理區域233中的電漿可以是利用作為電極的台座形成的DC偏壓電漿。電漿可以存在於腔室電漿區域215中,以從例如含氟前驅物或其他前驅物的流入產生自由基前驅物。典型地,在射頻(RF)範圍中的AC電壓可以施加於處理腔室的導電頂部部分(例如,面板217)與噴淋頭225及/或離子消除器223之間,以在沉積期間激發腔室電漿區域215中的電漿。RF功率供應器可以產生13.56MHz的高RF頻率,但亦可以單獨產生其他頻率或與13.56MHz頻率組合產生其他頻率。The plasma may be excited in the chamber plasma region 215 above the showerhead 225 or the substrate processing region 233 below the showerhead 225. In an embodiment, the plasma formed in the substrate processing region 233 may be a DC bias plasma formed using a pedestal as an electrode. A plasma may be present in the chamber plasma region 215 to produce a free radical precursor from the influx of, for example, a fluorine-containing precursor or other precursor. Typically, an AC voltage in the radio frequency (RF) range can be applied between the conductive top portion of the processing chamber (eg, panel 217) and the showerhead 225 and/or ion eliminator 223 to excite the cavity during deposition. The plasma in the chamber plasma region 215. The RF power supply can produce a high RF frequency of 13.56 MHz, but other frequencies can be generated separately or combined with the 13.56 MHz frequency to produce other frequencies.

2B 圖示影響穿過面板217的處理氣體分佈的特徵的詳細視圖253。如第2A圖及第2B圖所示,面板217、冷卻板203、及氣體入口組件205相交,以定義氣體供應區域258,其中處理氣體可以從氣體入口205遞送進入氣體供應區域258。氣體可以填充氣體供應區域258,並經由面板217中的孔隙259流到第一電漿區域215。孔隙259可經配置以基本上單向的方式引導流動,而使得處理氣體可以流入處理區域233中,但是在穿過面板217之後可以被部分或完全防止回流到氣體供應區域258中。 FIG . 2B illustrates a detailed view 253 of features affecting the distribution of process gases through panel 217. As shown in FIGS. 2A and 2B, panel 217, cooling plate 203, and gas inlet assembly 205 intersect to define a gas supply region 258 in which process gas can be delivered from gas inlet 205 into gas supply region 258. The gas may fill the gas supply region 258 and flow to the first plasma region 215 via the apertures 259 in the panel 217. The apertures 259 can be configured to direct flow in a substantially unidirectional manner such that process gases can flow into the processing region 233, but can be partially or completely prevented from flowing back into the gas supply region 258 after passing through the panel 217.

氣體分配組件(例如,用於處理腔室區段200的噴淋頭225)可以指稱為雙通道噴淋頭(DCSH),並附加地在第3圖所述的實施例中詳細說明。雙通道噴淋頭可以提供蝕刻處理,以允許在處理區域233之外分離蝕刻劑,以在遞送到處理區域之前提供與腔室部件及彼此間的受限的相互作用。The gas distribution assembly (e.g., showerhead 225 for processing chamber section 200) may be referred to as a dual channel showerhead (DCSH) and is additionally illustrated in detail in the embodiment illustrated in FIG. The dual channel showerhead can provide an etch process to allow separation of the etchant outside of the processing region 233 to provide limited interaction with the chamber components and each other prior to delivery to the processing region.

噴淋頭225可以包含上板214及下板216。這些板可以彼此耦接,以定義這些板之間的容積218。板的耦接可以提供穿過上及下板的第一流體通道219以及穿過下板216的第二流體通道221。所形成的通道可經配置以提供從容積218單獨經由第二流體通道221穿過下板216的流體出入口,而第一流體通道219可以流體隔離於板與第二流體通道221之間的容積218。容積218可以經由氣體分配組件225的一側流體出入。The showerhead 225 can include an upper plate 214 and a lower plate 216. These plates can be coupled to each other to define a volume 218 between the plates. The coupling of the plates can provide a first fluid passage 219 through the upper and lower plates and a second fluid passage 221 through the lower plate 216. The formed passageway can be configured to provide a fluid inlet and outlet from the volume 218 alone through the second fluid passage 221 through the lower plate 216, while the first fluid passage 219 can be fluidly isolated from the volume 218 between the plate and the second fluid passage 221. . The volume 218 can be fluidized in and out via one side of the gas distribution assembly 225.

3 係為根據實施例的與處理腔室一起使用的噴淋頭325的底視圖。噴淋頭325可以對應於第2A圖所示的噴淋頭225。通孔365(圖示第一流體通道219的視圖)可以具有複數種形狀及配置,以控制及影響前驅物經由噴淋頭225的流動。小孔洞375(圖示第二流體通道221的視圖)可以基本均勻地分佈在噴淋頭的表面上(即使在通孔365中),並且可以有助於前驅物在離開噴淋頭時提供比其他配置更均勻的混合。 FIG. 3 is a system for use with a shower head in accordance with an embodiment of the processing chamber 325 bottom view. The showerhead 325 can correspond to the showerhead 225 shown in FIG. 2A. The through holes 365 (the view of the first fluid passage 219 are illustrated) may have a plurality of shapes and configurations to control and influence the flow of the precursor through the showerhead 225. A small aperture 375 (shown as a view of the second fluid passage 221) can be distributed substantially evenly over the surface of the showerhead (even in the through hole 365) and can help the precursor provide a ratio when exiting the showerhead. Other configurations are more evenly mixed.

轉到 4 ,圖示根據本技術的一或更多個實施例的原子層沉積系統400或反應器的示意性橫截面圖。系統400可以包括裝載閘腔室10與處理腔室20。處理腔室20通常可以是可密封的外殼,而可以在真空或至少低壓下操作。處理腔室20可以藉由隔離閥15與裝載閘腔室10隔離。隔離閥15可以將處理腔室20與裝載閘腔室10密封於關閉位置,並可允許在打開位置時將基板60從裝載閘腔室10經由閥轉移至處理腔室20,反之亦然。Turning to FIG . 4 , a schematic cross-sectional view of an atomic layer deposition system 400 or reactor in accordance with one or more embodiments of the present technology is illustrated. System 400 can include a load lock chamber 10 and a processing chamber 20. The processing chamber 20 can generally be a sealable outer casing that can be operated under vacuum or at least low pressure. The processing chamber 20 can be isolated from the load lock chamber 10 by an isolation valve 15. The isolation valve 15 can seal the process chamber 20 and the load lock chamber 10 in a closed position and can allow the substrate 60 to be transferred from the load lock chamber 10 to the process chamber 20 in the open position, and vice versa.

系統400可包括氣體分配板30,氣體分配板30能夠跨越基板60分配一或更多種氣體。氣體分配板30可以是該領域具有通常知識者已知的任何合適的分配板,且所述之特定氣體分配板不應視為限制本技術之範疇。氣體分配板30之輸出面可以面向基板60的第一表面61。System 400 can include a gas distribution plate 30 that can dispense one or more gases across substrate 60. The gas distribution plate 30 can be any suitable distribution plate known to those skilled in the art, and the particular gas distribution plate should not be considered to limit the scope of the present technology. The output face of the gas distribution plate 30 may face the first surface 61 of the substrate 60.

氣體分配板30可以包括複數個氣體埠與複數個真空埠,複數個氣體埠經配置以傳送一或更多個氣體流到基板60,而複數個真空埠係設置於每一氣體埠之間,並經配置以傳送氣體流到處理腔室20之外。如第4圖所示,氣體分配板30可以包括第一前驅物注射器420、第二前驅物注射器430、及吹掃氣體注射器440。注射器420、430、440可藉由系統電腦(未圖示)(例如,主機)控制,或藉由腔室特定控制器(例如,可程式化邏輯控制器)控制。前驅物注射器420可經配置以將化合物A的活性前驅物之連續或脈衝流注射經由複數個氣體埠425進入處理腔室20。前驅物注射器430可經配置以將化合物B的活性前驅物之連續或脈衝流注射經由複數個氣體埠435進入處理腔室20。吹掃氣體注射器440可經配置以將無活性或吹掃氣體之連續或脈衝流注射經由複數個氣體埠445進入處理腔室20。吹掃氣體可經配置以從處理腔室20移除活性材料及活性副產物。吹掃氣體典型係為惰性氣體,例如,氮氣、氬氣、及氦氣。氣體埠445可設置於氣體埠425及氣體埠435之間,以從化合物B之前軀物分離化合物A之前驅物,藉此避免前驅物之間的交叉汙染。The gas distribution plate 30 can include a plurality of gas crucibles and a plurality of vacuum crucibles, the plurality of gas crucibles configured to deliver one or more gas streams to the substrate 60, and a plurality of vacuum crucibles disposed between each gas crucible, And configured to deliver a flow of gas out of the processing chamber 20. As shown in FIG. 4, the gas distribution plate 30 can include a first precursor injector 420, a second precursor injector 430, and a purge gas injector 440. The injectors 420, 430, 440 can be controlled by a system computer (not shown) (e.g., a host) or by a chamber specific controller (e.g., a programmable logic controller). The precursor injector 420 can be configured to inject continuous or pulsed flow of the active precursor of Compound A into the processing chamber 20 via a plurality of gas helium 425. The precursor injector 430 can be configured to inject continuous or pulsed flow of the active precursor of Compound B into the processing chamber 20 via a plurality of gas helium 435. The purge gas injector 440 can be configured to inject continuous or pulsed flow of inactive or purge gas into the processing chamber 20 via a plurality of gas ports 445. The purge gas can be configured to remove active material and active byproducts from the processing chamber 20. The purge gas is typically an inert gas such as nitrogen, argon, and helium. A gas crucible 445 may be disposed between the gas crucible 425 and the gas crucible 435 to separate the precursor of the compound A from the precursor of the compound B, thereby avoiding cross-contamination between the precursors.

在另一態樣中,在將前驅物注射進入處理腔室20之前,遠端電漿源(未圖示)可連接至前驅物注射器420及前驅物注射器430。可以藉由將電場施加到遠端電漿源內的化合物來產生活性物質之電漿。可以使用能夠活化所意欲化合物的任何功率源。舉例而言,使用DC、射頻、及微波型放電技術的功率源可以使用。若使用RF功率源,則可以電容性或電感性耦接該RF功率源。亦可以藉由熱基礎技術、氣體解離技術、高強度光源(例如,紫外光源)、或暴露於x射線源來產生活化。In another aspect, a distal plasma source (not shown) can be coupled to the precursor injector 420 and the precursor injector 430 prior to injecting the precursor into the processing chamber 20. The plasma of the active material can be produced by applying an electric field to the compound in the remote plasma source. Any power source capable of activating the intended compound can be used. For example, power sources using DC, RF, and microwave-type discharge techniques can be used. If an RF power source is used, the RF power source can be coupled capacitively or inductively. Activation can also be produced by thermal basic techniques, gas dissociation techniques, high intensity light sources (eg, ultraviolet light sources), or exposure to x-ray sources.

系統400可以進一步包括連接至處理腔室20的泵送系統450。泵送系統450大致上可經配置以經由一或更多個真空埠455將氣體流抽空到處理腔室20之外。真空埠455可設置於每一氣體埠之間,以在氣體流與基板表面反應之後將氣體流抽空到處理腔室20之外,並進一步限制前驅物之間的交叉汙染。System 400 can further include a pumping system 450 coupled to processing chamber 20. The pumping system 450 can be generally configured to evacuate a gas stream out of the processing chamber 20 via one or more vacuum ports 455. A vacuum crucible 455 can be disposed between each gas crucible to evacuate the gas stream out of the processing chamber 20 after the gas stream reacts with the substrate surface and further limit cross-contamination between the precursors.

系統400可包括設置於處理腔室20上並在每一埠之間的複數個分區460。每一分區的下部可以延伸靠近基板60的第一表面61(例如,距離第一表面61約0.5mm或更多)。以此方式,分區460的下部可以從基板表面分離一距離,該距離足以允許氣體流在氣體流與基板表面反應之後,流動環繞下部而朝向真空埠455。箭頭498指示氣體流的方向。由於分區460可操作而作為對於氣體流的物理阻隔,所以分區460亦可限制前驅物之間的交叉汙染。所示之配置僅為說明性,且不應視為限制本技術之範疇。該領域具有通常知識者將理解,所示之氣體分配系統僅為一種可能的分配系統,並且可以採用其他類型的噴淋頭。System 400 can include a plurality of partitions 460 disposed on processing chamber 20 and between each turn. The lower portion of each partition may extend proximate to the first surface 61 of the substrate 60 (eg, about 0.5 mm or more from the first surface 61). In this manner, the lower portion of the partition 460 can be separated from the surface of the substrate by a distance sufficient to allow the flow of gas to flow around the lower portion toward the vacuum crucible 455 after the gas stream reacts with the surface of the substrate. Arrow 498 indicates the direction of the gas flow. Since partition 460 is operable as a physical barrier to gas flow, partition 460 can also limit cross-contamination between precursors. The configurations shown are for illustrative purposes only and are not to be considered as limiting the scope of the technology. Those of ordinary skill in the art will appreciate that the gas distribution system shown is only one possible distribution system and that other types of showerheads can be employed.

在操作中,可以將基板60(例如,藉由機器人)遞送到裝載閘腔室10,並可放置於搬運梭65上。在隔離閥15打開之後,搬運梭65可以沿著軌道70移動。一旦搬運梭65進入處理腔室20,隔離閥15可以關閉,以將處理腔室20密封。然後,搬運梭65可以移動穿過處理腔室20,以進行處理。在一個實施例中,搬運梭65可以在直線路徑中移動穿過腔室。In operation, the substrate 60 (e.g., by a robot) can be delivered to the load lock chamber 10 and can be placed on the transport shuttle 65. After the isolation valve 15 is opened, the transport shuttle 65 can move along the rail 70. Once the transfer shuttle 65 enters the processing chamber 20, the isolation valve 15 can be closed to seal the processing chamber 20. The handling shuttle 65 can then be moved through the processing chamber 20 for processing. In one embodiment, the handling shuttle 65 can move through the chamber in a linear path.

隨著基板60移動穿過處理腔室20,基板60的第一表面61可以重複暴露到來自氣體埠425的化合物A的前驅物及來自氣體埠435的化合物B的前驅物,其間具有來自氣體埠445的吹掃氣體。吹掃氣體的注入可經設計以在將基板表面61暴露至下一個前驅物之前,移除來自先前前驅物的未反應材料。在對各種氣體流的每一暴露之後,氣體流可以藉由泵送系統450經由真空埠455抽空。由於在每一氣體埠的兩側可以設置真空埠,所以氣體流可以經由在兩側的真空埠455抽空。因此,氣體流可以從個別氣體埠垂直向下流動朝向基板60的第一表面61,跨越第一表面410且環繞分區460之下部,而最後向上朝向真空埠455。以此方式,每一氣體可以均勻地分佈跨越基板表面61。亦可在暴露至各種氣體流時旋轉基板60。基板的旋轉可以對於防止在所形成的層中形成條帶是有用的。基板的旋轉可以是連續或是分開的步驟。As the substrate 60 moves through the processing chamber 20, the first surface 61 of the substrate 60 can be repeatedly exposed to the precursor of the compound A from the gas crucible 425 and the precursor of the compound B from the gas crucible 435 with gas from the gas 445 purge gas. The injection of the purge gas can be designed to remove unreacted material from the previous precursor prior to exposing the substrate surface 61 to the next precursor. After each exposure to various gas streams, the gas stream can be evacuated by pumping system 450 via vacuum crucible 455. Since a vacuum crucible can be placed on either side of each gas crucible, the gas flow can be evacuated via vacuum crucibles 455 on both sides. Thus, the gas flow can flow vertically downward from the individual gas helium toward the first surface 61 of the substrate 60, across the first surface 410 and around the lower portion of the partition 460, and finally upward toward the vacuum crucible 455. In this way, each gas can be evenly distributed across the substrate surface 61. The substrate 60 can also be rotated while exposed to various gas streams. Rotation of the substrate can be useful to prevent strip formation in the formed layer. The rotation of the substrate can be a continuous or separate step.

可以藉由例如從氣體埠出來的每一氣體的流動速率及基板60的移動速率來決定基板表面61暴露至每一氣體的程度。在一個實施例中,每一氣體的流動速率可經配置,而不會從基板表面61移除所吸收的前驅物。每一分區之間的寬度、設置於處理腔室20上的氣體埠之數量、及基板可能來回傳遞的次數亦可決定基板表面61暴露至各種氣體的程度。因此,沉積膜的數量與品質可藉由改變上述因子來最佳化。The extent to which the substrate surface 61 is exposed to each gas can be determined by, for example, the flow rate of each gas from the gas and the rate of movement of the substrate 60. In one embodiment, the flow rate of each gas can be configured without removing the absorbed precursor from the substrate surface 61. The width between each partition, the number of gas imperfections disposed on the processing chamber 20, and the number of times the substrate may be transferred back and forth may also determine the extent to which the substrate surface 61 is exposed to various gases. Therefore, the quantity and quality of the deposited film can be optimized by changing the above factors.

在另一實施例中,系統400可以包括前驅物注入器420與前驅物注入器430,而沒有吹掃氣體注入器440。因此,隨著基板60移動穿過處理腔室20,基板表面61可以交替地暴露於化合物A的前驅物與化合物B的前驅物,而不會暴露於其間的吹掃氣體。In another embodiment, system 400 can include precursor injector 420 and precursor injector 430 without purge gas injector 440. Thus, as the substrate 60 moves through the processing chamber 20, the substrate surface 61 can be alternately exposed to the precursor of Compound A and the precursor of Compound B without being exposed to the purge gas therebetween.

第4圖所示的實施例具有在基板上方的氣體分配板30。儘管已經針對此直立定向描述及圖示實施例,但應理解,相反的定向亦是可能的。在那種情況下,基板60的第一表面61可以面朝下,而朝向基板流動的氣體可以引導朝上。在一或更多個實施例中,至少一個輻射熱源90可以定位成加熱基板的第二側。The embodiment shown in Figure 4 has a gas distribution plate 30 above the substrate. While the embodiments have been described and illustrated with respect to this upright orientation, it should be understood that the opposite orientation is also possible. In that case, the first surface 61 of the substrate 60 may face downward, and the gas flowing toward the substrate may be directed upward. In one or more embodiments, at least one radiant heat source 90 can be positioned to heat the second side of the substrate.

在一些實施例中,搬運梭65可以是用於承載基板60的基座66。通常,基座66可以是有助於跨越基板形成均勻溫度的載體。基座66可以相對於第4圖的佈置在裝載閘腔室10與處理腔室20之間在左到右及右到左的兩個方向上移動。基座66可以具有用於承載基板60的頂表面67。基座66可以是經加熱的基座,而使得基板60可以加熱以用於處理。作為實例,可以藉由設置在基座66下方的輻射熱源90、加熱板、電阻線圈、或其他加熱裝置來加熱基座66。儘管圖示為橫向轉換,但系統400的實施例亦可用於旋轉式系統,其中輪子可以順時針或逆時針旋轉,以連續加工位於所示氣體分配系統下方的一或更多個基板。應類似地理解,附加修改係包括在本技術中。In some embodiments, the transport shuttle 65 can be a base 66 for carrying the substrate 60. Generally, the pedestal 66 can be a carrier that helps to form a uniform temperature across the substrate. The pedestal 66 can be moved in both left-to-right and right-to-left directions between the load lock chamber 10 and the process chamber 20 with respect to the arrangement of FIG. The pedestal 66 can have a top surface 67 for carrying the substrate 60. The pedestal 66 can be a heated pedestal such that the substrate 60 can be heated for processing. As an example, the pedestal 66 can be heated by a radiant heat source 90 disposed below the susceptor 66, a heater plate, a resistive coil, or other heating device. Although illustrated as a lateral transition, embodiments of system 400 can also be used in a rotary system in which the wheels can be rotated clockwise or counterclockwise to continuously process one or more substrates underneath the gas distribution system shown. It should be similarly understood that additional modifications are included in the present technology.

5 圖示形成半導體結構的方法500,其中許多操作可以執行於例如前述腔室200及400中。該方法可以包括基板的NMOS與PMOS區域上的磊晶生長的態樣。方法500可以包括在開始該方法之前的一或更多個操作,而包括前端處理、沉積、蝕刻、研磨、清潔、或可以在所述操作之前執行的任何其他操作。該方法可以包括多個可選擇操作,其可以或可以不特別與根據本技術的方法相關聯。舉例而言,為了提供更廣泛的結構形成範圍而描述許多操作,但是對於該技術而言並非關鍵,或者可以藉由替代方法來執行,這將在下面進一步討論。方法500描述 6A 圖至第 6B 中示意性圖示的操作,將結合方法500的操作而描述其說明。應理解,第6圖僅圖示局部示意圖,而基板可以包含任何數量的具有如圖式中所示的態樣的電晶體區段。 FIG . 5 illustrates a method 500 of forming a semiconductor structure in which a number of operations can be performed, for example, in the aforementioned chambers 200 and 400. The method can include an aspect of epitaxial growth on the NMOS and PMOS regions of the substrate. Method 500 can include one or more operations prior to initiating the method, including front end processing, deposition, etching, grinding, cleaning, or any other operation that can be performed prior to the operation. The method may include a plurality of selectable operations that may or may not be specifically associated with the method in accordance with the present technology. For example, many operations are described in order to provide a broader range of structure formation, but are not critical to the technology, or may be performed by alternative methods, as discussed further below. Method 500 is described first. 6A through 6B schematically illustrated in FIG operation of the method 500 in conjunction with the operation described in the description. It should be understood that FIG. 6 is only a partial schematic view, and the substrate may include any number of transistor segments having the pattern shown in the figures.

7 圖示形成半導體結構的方法700,其中許多操作可以執行於例如前述腔室200及400中。該方法可以包括基板的NMOS與PMOS區域上的矽化的態樣。該方法可以包括源極汲極區域上的磊晶生長的態樣。方法700可以包括在開始該方法之前的一或更多個操作,而包括前端處理、沉積、蝕刻、研磨、清潔、或可以在所述操作之前執行的任何其他操作。該方法可以包括多個可選擇操作,其可以或可以不特別與根據本技術的方法相關聯。舉例而言,為了提供更廣泛的結構形成範圍而描述許多操作,但是對於該技術而言並非關鍵,或者可以藉由替代方法來執行,這將在下面進一步討論。方法700描述 8A 圖至第 8B 中示意性圖示的操作,將結合方法700的操作而描述其說明。應理解,第8圖僅圖示局部示意圖,而基板可以包含任何數量的具有如圖式中所示的態樣的電晶體區段。應理解,方法500與700僅為示例性,而在實施例中可以先處理N區域或P區域中之一者。這兩種處理方式都包含在本技術中。 FIG . 7 illustrates a method 700 of forming a semiconductor structure in which a number of operations can be performed, for example, in the aforementioned chambers 200 and 400. The method can include a deuterated aspect on the NMOS and PMOS regions of the substrate. The method can include an aspect of epitaxial growth on the source drain region. Method 700 can include one or more operations prior to initiating the method, including front end processing, deposition, etching, grinding, cleaning, or any other operation that can be performed prior to the operation. The method may include a plurality of selectable operations that may or may not be specifically associated with the method in accordance with the present technology. For example, many operations are described in order to provide a broader range of structure formation, but are not critical to the technology, or may be performed by alternative methods, as discussed further below. Method 700 is described through FIGS. 8A 8B of FIG operation schematically illustrated, in conjunction with the operation of the method 700 described in the description. It should be understood that FIG. 8 is only a partial schematic view, and the substrate may include any number of transistor segments having the pattern shown in the figures. It should be understood that the methods 500 and 700 are merely exemplary, and one of the N regions or P regions may be processed first in an embodiment. Both of these treatments are included in the art.

方法500可以涉及在具有多個暴露區域的基板上執行的操作,例如在包括進一步發展以產生電晶體結構的區域的基板上。如第6A圖所示,經處理的基板600的一部分係圖示為包括基板605、p區域的源極與汲極610、n區域的源極與汲極615、金屬閘極620、蓋層625、及閘極間隔物630。材料可以在先前的操作中形成,且可以被拋光、蝕刻、或處理以產生所示結構。可以執行方法500的操作,以限制或消除遮罩操作以及包括灰化及清潔的RIE處理,並且可以減少用於在結構的產生期間提供磊晶材料的處理佇列時間,以減少結構的NMOS與PMOS區域中的接觸電阻。Method 500 can involve operations performed on a substrate having a plurality of exposed regions, such as on a substrate that includes regions that are further developed to create a transistor structure. As shown in FIG. 6A, a portion of the processed substrate 600 is illustrated as including a substrate 605, a source and a drain 610 of the p region, a source and drain 615 of the n region, a metal gate 620, and a cap layer 625. And gate spacer 630. The material can be formed in previous operations and can be polished, etched, or otherwise processed to produce the structure shown. The operation of method 500 can be performed to limit or eliminate masking operations and RIE processing including ashing and cleaning, and can reduce the processing time for providing epitaxial material during the generation of the structure to reduce the structure of the NMOS and Contact resistance in the PMOS region.

方法700亦可以涉及在具有多個暴露區域的基板上執行的操作,例如在包括進一步發展以產生電晶體結構的區域的基板上。如第8A圖所示,經處理的基板600的一部分係圖示為包括基板605、p區域的源極與汲極610、n區域的源極與汲極615、金屬閘極620、蓋層625、及閘極間隔物630。舉例而言,如同在方法500期間所形成者,該結構亦可以包括第一半導體材料635與第二半導體材料640。材料可以在先前的操作中形成,且可以被拋光、蝕刻、或處理以產生所示結構。可以執行方法700的操作,以限制或消除遮罩操作以及包括灰化及清潔的RIE處理,並且可以減少用於在結構的產生期間提供矽化材料的處理佇列時間,以減少結構的NMOS與PMOS區域中的接觸電阻。Method 700 can also involve operations performed on a substrate having a plurality of exposed regions, such as on a substrate that includes regions that are further developed to create a transistor structure. As shown in FIG. 8A, a portion of the processed substrate 600 is illustrated as including a substrate 605, a source and a drain 610 of the p region, a source and drain 615 of the n region, a metal gate 620, and a cap layer 625. And gate spacer 630. For example, as formed during method 500, the structure can also include first semiconductor material 635 and second semiconductor material 640. The material can be formed in previous operations and can be polished, etched, or otherwise processed to produce the structure shown. The operation of method 700 can be performed to limit or eliminate masking operations and RIE processing including ashing and cleaning, and can reduce processing queue time for providing deuterated material during generation of the structure to reduce NMOS and PMOS of the structure. Contact resistance in the area.

所使用的材料可以是該領域已知的各種介電材料、金屬材料、及半導體材料。舉例而言,基板605可以是矽或一些含矽材料。p區域的源極與汲極610可以形成於該結構的PMOS區域中,並且可以是鍺化矽或一些其他p通道金屬氧化物半導體材料。n區域的源極與汲極615可以是磷化矽或一些其他n通道金屬氧化物半導體材料。金屬閘極620可以是鎢、鈷、或一些其他導電材料。蓋材料625可以是自對準的接觸蓋,並且可以是氮化矽、碳化矽、或包括金屬氧化物(例如,氧化鎢或氧化鋁)的一些氧化物材料。舉例而言,閘極間隔物630可以是任何介電材料,並且可以例如是含矽材料、含氧材料、含碳材料、或一些組合(例如,碳氧化矽)。The materials used may be various dielectric materials, metal materials, and semiconductor materials known in the art. For example, the substrate 605 can be tantalum or some germanium containing material. The source and drain 610 of the p region may be formed in the PMOS region of the structure and may be germanium or some other p-channel metal oxide semiconductor material. The source and drain 615 of the n region may be phosphide or some other n-channel metal oxide semiconductor material. Metal gate 620 can be tungsten, cobalt, or some other electrically conductive material. The cover material 625 can be a self-aligned contact cover and can be tantalum nitride, tantalum carbide, or some oxide material including a metal oxide (eg, tungsten oxide or aluminum oxide). For example, the gate spacer 630 can be any dielectric material and can be, for example, a germanium-containing material, an oxygen-containing material, a carbonaceous material, or some combination (eg, tantalum carbonium oxide).

方法500最初可以包括如第6A圖所示的操作505處的沉積半導體材料635之步驟。半導體材料635可以是任何已知的半導體材料,而在實施例中因為如圖所示可以形成於PMOS源極與汲極材料上,所以可以是沉積為半導體材料635的鍺。半導體材料635的沉積可以選擇性沉積在p區域的源極與汲極區段610上,並且可以不形成於任何其他暴露的材料上(包括蓋材料625、閘極間隔物630、或可能在NMOS區域中保持暴露的任何材料)。在實施例中,可以不用先阻擋NMOS區域而執行沉積(例如藉由形成光阻或硬遮罩)。半導體材料635可以利用任何數量的方式形成,且可以利用前驅物來磊晶生長,以利用下面進一步討論的一或更多個選擇性沉積操作。舉例而言,在示例性半導體材料635為鍺的情況下,可以使用含鍺前驅物與氫化物(例如,包括II族、III族、IV族、或V族金屬氫化物)或有機金屬前驅物(例如,甲基化金屬或其他有機結構)的金屬有機氣相磊晶來執行處理。該處理可以在高於或低於或約500℃的溫度下進行,並且可以在低於約1Torr的壓力下進行,以及可以在低於或約1mTorr的壓力下進行。Method 500 may initially include the step of depositing semiconductor material 635 at operation 505 as shown in FIG. 6A. The semiconductor material 635 can be any known semiconductor material, and in embodiments can be formed on the PMOS source and drain material as shown, and can be deposited as a semiconductor material 635. The deposition of semiconductor material 635 may be selectively deposited on the source and drain regions 610 of the p region and may not be formed on any other exposed material (including cap material 625, gate spacer 630, or possibly NMOS). Any material that remains exposed in the area). In an embodiment, deposition may be performed without first blocking the NMOS region (eg, by forming a photoresist or hard mask). Semiconductor material 635 can be formed in any number of ways and can be epitaxially grown using precursors to utilize one or more selective deposition operations as discussed further below. For example, where the exemplary semiconductor material 635 is germanium, a germanium-containing precursor and a hydride (eg, including a Group II, Group III, Group IV, or Group V metal hydride) or organometallic precursor can be used. Metal organic vapor phase epitaxy (for example, methylated metal or other organic structure) is used to perform the treatment. The treatment can be carried out at a temperature above or below or about 500 ° C, and can be carried out at a pressure below about 1 Torr, and can be carried out at a pressure below or about 1 mTorr.

方法500亦可以包括如第6B圖所示的操作510處的沉積半導體材料640之步驟。半導體材料640可以是任何已知的半導體材料,而在實施例中因為如圖所示可以形成於NMOS源極與汲極材料上,所以可以是沉積為半導體材料640的III-V半導體(例如,砷化銦鎵)。半導體材料640的沉積可以選擇性沉積在n區域的源極與汲極區段615上,並且可以不形成於任何其他暴露的材料上(包括蓋材料625、閘極間隔物630、或可能在PMOS區域中保持暴露的任何材料)。在實施例中,可以不用先阻擋PMOS區域(例如藉由形成光阻或硬遮罩)而執行沉積。半導體材料640可以利用任何數量的方式形成,且可以利用前驅物來磊晶生長,以利用下面進一步討論的一或更多個選擇性沉積操作。舉例而言,在示例性半導體材料640為砷化銦鎵的情況下,可以使用含銦前驅物、含鎵前驅物、及氫化物(例如,包括II族、III族、IV族、或V族金屬氫化物)或有機金屬前驅物(例如,甲基化金屬或其他有機結構)的金屬有機氣相磊晶來執行處理。在實施例中,附加前驅物可以是含砷前驅物。該處理可以在高於或低於或約500℃的溫度下進行,並且可以在低於約1Torr的壓力下進行,以及可以在低於或約1mTorr的壓力下進行。Method 500 can also include the step of depositing semiconductor material 640 at operation 510 as shown in FIG. 6B. The semiconductor material 640 can be any known semiconductor material, and in embodiments may be formed on the NMOS source and drain material as shown, and may be a III-V semiconductor deposited as a semiconductor material 640 (eg, Indium gallium arsenide). The deposition of semiconductor material 640 may be selectively deposited on the source and drain regions 615 of the n region and may not be formed on any other exposed material (including cap material 625, gate spacer 630, or possibly PMOS). Any material that remains exposed in the area). In an embodiment, deposition may be performed without first blocking the PMOS region (eg, by forming a photoresist or a hard mask). Semiconductor material 640 can be formed in any number of ways and can be epitaxially grown using precursors to utilize one or more selective deposition operations discussed further below. For example, where the exemplary semiconductor material 640 is indium gallium arsenide, an indium containing precursor, a gallium containing precursor, and a hydride (eg, including Group II, Group III, Group IV, or Group V) can be used. The metal organic vapor phase epitaxy of a metal hydride or an organometallic precursor (eg, a methylated metal or other organic structure) is used to perform the treatment. In an embodiment, the additional precursor may be an arsenic-containing precursor. The treatment can be carried out at a temperature above or below or about 500 ° C, and can be carried out at a pressure below about 1 Torr, and can be carried out at a pressure below or about 1 mTorr.

方法700可以包括如第8A圖所示的操作715處的沉積第一合金材料845之步驟。舉例而言,先前的操作可以發生於操作712處,其中可以在半導體材料635上方形成含矽材料。含矽材料可以用於藉由隨後的退火操作來產生合金。合金材料可以是包括鎳的合金的任何數量的材料。可以藉由將鎳與含矽材料(例如,鍺化矽)合金化以形成鎳矽鍺,而形成第一合金材料845。鍺化矽最初可以形成於操作712中,然後與鎳一起退火。也可以形成附加的第二與第三含鎳合金或其他金屬合金。舉例而言,因為如圖所示亦可以形成於PMOS源極與汲極材料上方,所以鎳可以作為材料層。第一合金材料845的沉積可以選擇性沉積在第一半導體材料635上,並且可以不形成於任何其他暴露的材料上(包括蓋材料625、閘極間隔物630、或可能在NMOS區域中保持暴露的任何材料)。在實施例中,可以不用先阻擋NMOS區域而執行沉積(例如藉由形成光阻或硬遮罩)。Method 700 can include the step of depositing first alloy material 845 at operation 715 as shown in FIG. 8A. For example, previous operations may occur at operation 712 where a germanium-containing material may be formed over semiconductor material 635. The cerium-containing material can be used to produce an alloy by a subsequent annealing operation. The alloy material can be any number of materials including alloys of nickel. The first alloy material 845 can be formed by alloying nickel with a niobium-containing material (e.g., antimony telluride) to form nickel niobium. The bismuth telluride may initially be formed in operation 712 and then annealed with nickel. Additional second and third nickel-containing alloys or other metal alloys may also be formed. For example, nickel can be used as a material layer because it can also be formed over the PMOS source and drain material as shown. The deposition of the first alloy material 845 may be selectively deposited on the first semiconductor material 635 and may not be formed on any other exposed material (including the cap material 625, the gate spacer 630, or may remain exposed in the NMOS region) Any material). In an embodiment, deposition may be performed without first blocking the NMOS region (eg, by forming a photoresist or hard mask).

合金材料可以利用多種方式形成,並且可以藉由產生第一材料層,然後形成合金材料而形成。在形成鎳矽鍺的一個實例中,可以形成鍺化矽層,然後形成鎳層。也可以形成附加的中間層(例如,鍺化矽層與鎳層之間的鈦或鋁層),而有助於合金的形成。然後,可以在高於400℃的溫度下執行退火操作(例如,快速熱退火),以允許鎳滲透鍺化矽,並形成鎳矽鍺。該處理亦可以在低於約1Torr的壓力下進行,以及可以在低於或約1mTorr的壓力下進行。The alloy material can be formed in a variety of ways and can be formed by creating a first material layer and then forming an alloy material. In one example of forming nickel ruthenium, a ruthenium telluride layer may be formed and then a nickel layer is formed. It is also possible to form an additional intermediate layer (for example, a titanium or aluminum layer between the bismuth telluride layer and the nickel layer) to aid in the formation of the alloy. Then, an annealing operation (for example, rapid thermal annealing) may be performed at a temperature higher than 400 ° C to allow nickel to permeate the bismuth telluride and form nickel ruthenium. The treatment can also be carried out at a pressure below about 1 Torr and can be carried out at a pressure below or about 1 mTorr.

方法700可以包括如第8B圖所示的操作720處的沉積第二合金材料850之步驟。合金材料可以是包括鈦的合金的任何數量的材料。再次,在操作718處可以首先沉積第二含矽材料,以形成如上所述的合金。可以藉由將鈦與含矽材料(例如,氮化矽)合金化以形成氮化鈦矽,而形成第二合金材料850。也可以形成附加的第二與第三含鈦合金或其他金屬合金。舉例而言,因為如圖所示亦可以形成於NMOS源極與汲極材料上方,所以鈦可以作為材料層。第二合金材料850的沉積可以選擇性沉積在第二半導體材料640上,並且可以不形成於任何其他暴露的材料上(包括蓋材料625、閘極間隔物630、或可能在PMOS區域中保持暴露的任何材料)。The method 700 can include the step of depositing a second alloy material 850 at operation 720 as shown in FIG. 8B. The alloy material can be any number of materials including alloys of titanium. Again, a second ruthenium containing material may be deposited first at operation 718 to form an alloy as described above. The second alloy material 850 can be formed by alloying titanium with a cerium-containing material (eg, cerium nitride) to form titanium cerium nitride. Additional second and third titanium-containing alloys or other metal alloys may also be formed. For example, titanium can be used as a material layer because it can also be formed over the NMOS source and drain material as shown. The deposition of the second alloy material 850 may be selectively deposited on the second semiconductor material 640 and may not be formed on any other exposed material (including the cap material 625, the gate spacer 630, or may remain exposed in the PMOS region) Any material).

在實施例中,可以不用先阻擋PMOS區域而執行沉積(例如藉由形成光阻或硬遮罩)。合金材料可以利用多種方式形成,並且可以藉由產生第一材料層,然後形成合金材料而形成。在形成氮化鈦矽的一個實例中,可以形成氮化矽層,然後形成鈦層。再次,可以先前描述而形成附加的中間層。然後,可以在高於400℃的溫度下執行退火操作(例如,快速熱退火),以允許鈦滲透氮化矽,並形成氮化鈦矽。該處理亦可以在低於約1Torr的壓力下進行,以及可以在低於或約1mTorr的壓力下進行。In an embodiment, deposition may be performed without first blocking the PMOS region (eg, by forming a photoresist or hard mask). The alloy material can be formed in a variety of ways and can be formed by creating a first material layer and then forming an alloy material. In one example of forming titanium arsenide, a tantalum nitride layer may be formed and then a titanium layer is formed. Again, additional intermediate layers can be formed as previously described. Then, an annealing operation (for example, rapid thermal annealing) may be performed at a temperature higher than 400 ° C to allow titanium to penetrate tantalum nitride and form titanium nitride. The treatment can also be carried out at a pressure below about 1 Torr and can be carried out at a pressure below or about 1 mTorr.

由於許多材料係定位或形成於特定區域中,所以所沉積的層通常可以不同於其他暴露材料層(其上並不發生沉積,或以較小的程度發生)。藉由沉積材料層(由與其他暴露材料不同的材料所組成),可以使用多種選擇性沉積技術來形成層635、640、845、及850中之一或更多者。Since many materials are positioned or formed in a particular region, the deposited layer can generally be different from other exposed material layers (on which no deposition occurs, or to a lesser extent). One or more of layers 635, 640, 845, and 850 can be formed using a variety of selective deposition techniques by depositing a layer of material (consisting of materials different from other exposed materials).

可以在能夠沉積且能夠原子層沉積的腔室(包括上述的腔室400)中執行任何所揭示材料的選擇性沉積。沉積的前提可以基於相對於包括蓋材料625與閘極間隔物630的介電或絕緣材料以及發生沉積之外的區域中的其他暴露材料來選擇性沉積金屬或半導體材料。舉例而言,第一半導體材料635(在一些實施例中可以是鍺)可以基本上形成於p區域的源極與汲極材料610上(可以是鍺化矽),同時最少地形成於閘極間隔物630或者受限於閘極間隔物630(可以是其他材料中的矽碳氧化物)。第一半導體材料635亦可以最少地形成於或受限於氮化物、碳化物、或氧化物蓋材料625以及NMOS區域中的暴露材料(例如,可以是磷化矽的n區域的源極與汲極材料615)。可以類似地執行下列的選擇性沉積,以相較於在任何其他暴露材料上,在預期位置上沉積更多材料,其他暴露材料亦可以包括基板605,基板605可以是矽或一些其他半導體材料。可以藉由多種操作來執行選擇性沉積,可以包括形成自組裝單層以促進選擇性沉積,或者可以包括主動抑制在其他介電材料上形成材料。Selective deposition of any of the disclosed materials can be performed in a chamber capable of deposition and capable of atomic layer deposition, including chamber 400 described above. The premise of the deposition may be based on selective deposition of the metal or semiconductor material relative to the dielectric or insulating material including the capping material 625 and the gate spacer 630, as well as other exposed materials in the regions where deposition occurs. For example, the first semiconductor material 635 (which may be germanium in some embodiments) may be formed substantially on the source and drain material 610 of the p region (which may be germanium germanium) while being minimally formed on the gate Spacer 630 is either limited to gate spacer 630 (which may be germanium carbon oxide in other materials). The first semiconductor material 635 can also be formed at least or limited to nitride, carbide, or oxide cap material 625 and exposed materials in the NMOS region (eg, the source and the germanium of the n-region of the bismuth phosphide) Pole material 615). The following selective deposition can be similarly performed to deposit more material at a desired location than on any other exposed material, other exposed materials can also include a substrate 605, which can be germanium or some other semiconductor material. Selective deposition can be performed by a variety of operations, which can include forming a self-assembled monolayer to facilitate selective deposition, or can include actively inhibiting the formation of materials on other dielectric materials.

可以在該結構的區域上形成自組裝單層,以調諧沉積。舉例而言,可以在結構上形成第一自組裝單層,然後暴露於光刻遮罩,以從接受沉積(例如,在p區域的源極與汲極材料610或n區域的源極與汲極材料615上)的任何區域移除單層。先前形成於這些區域中的材料亦可以成為後續沉積的目標。單層可以維持在介電或絕緣材料(例如,蓋材料625與閘極間隔物630)上。單層可以具有可能排斥或無法與後來遞送的前驅物相互作用的封端部分。舉例而言,在實施例中,封端部分可以是疏水性,並且可以利用含氫部分(例如,甲基)封端,含氫部分可以不與附加前驅物相互作用。第二自組裝單層可以形成於目標區域上(例如,在p區域的源極與汲極材料610或n區域的源極與汲極材料615上)。此自組裝單層可以是親水的,或與用於產生特定沉積材料(可以是前述材料中之任一者)的一或更多種前驅物反應。因為材料可以與第一自組裝單層排斥,或者可以選擇性吸取到目標區域,所以可以在目標區域上選擇性形成第二自組裝單層。第二自組裝單層可以利用羥基或其他親水部分封端,或是利用特別與用於形成指定沉積材料(例如,金屬或介電材料)的附加前驅物相互作用的部分封端。A self-assembled monolayer can be formed over the area of the structure to tune the deposition. For example, a first self-assembled monolayer can be formed on the structure and then exposed to the lithographic mask to accept deposition (eg, source and drain regions of the source and drain regions of the p-region 610 or n regions) Any area of the pole material 615) removes the single layer. Materials previously formed in these regions can also be targets for subsequent deposition. The single layer can be maintained on a dielectric or insulating material (eg, cap material 625 and gate spacer 630). The monolayer may have a capping moiety that may or may not interact with the subsequently delivered precursor. For example, in embodiments, the capping moiety can be hydrophobic and can be capped with a hydrogen containing moiety (eg, a methyl group) that can not interact with the additional precursor. A second self-assembled monolayer can be formed over the target region (eg, on the source of the p-region and the source and drain material 615 of the n-region). The self-assembled monolayer can be hydrophilic or can react with one or more precursors used to create a particular deposition material, which can be any of the foregoing materials. Because the material can be repelled from the first self-assembled monolayer, or can be selectively drawn to the target area, a second self-assembled monolayer can be selectively formed over the target area. The second self-assembled monolayer can be capped with a hydroxyl or other hydrophilic moiety, or partially capped with an additional precursor that interacts specifically with the formation of a specified deposition material (eg, a metal or dielectric material).

然後,可以利用二或更多種前驅物執行原子層沉積,以形成沉積材料(可以是用於層635、640、845、850的先前所述的任何材料)。沉積的前驅物可以包括含金屬或含矽前驅物,並包括經配置以與封端第二自組裝單層(而非第一自組裝單層)的部分相互作用的前驅物。舉例而言,當使用親水性及疏水性封端單層時,原子層沉積前驅物中之一者可以包括水。以此方式,沉積可能不會形成於可以是疏水性的第一自組裝單層上。若沉積材料包括金屬氧化物,則用於原子層沉積的前驅物可以包括指定含金屬前驅物以及水。舉例而言,一旦形成完成,可以移除氧化物,以留下金屬層。在其他實施例中,可以使用含矽前驅物。然後,在與水的半反應期間,水可能無法與形成在其他暴露材料上的第一自組裝單層相互作用,而因此沉積可以不在第一自組裝單層上形成。以此方式,可以在目標區域上選擇性形成特定材料,或者優先在目標區域上形成特定材料。應理解,這僅為單一實例,而其他前驅物可以利用類似的操作原理使用,以形成針對每一沉積區域的先前所述的特定材料。Atomic layer deposition can then be performed using two or more precursors to form a deposited material (which can be any of the materials previously described for layers 635, 640, 845, 850). The deposited precursor can include a metal-containing or ruthenium-containing precursor and includes a precursor configured to interact with a portion of the capped second self-assembled monolayer (rather than the first self-assembled monolayer). For example, when a hydrophilic and hydrophobic capping monolayer is used, one of the atomic layer deposition precursors can include water. In this way, deposition may not be formed on the first self-assembled monolayer which may be hydrophobic. If the deposition material includes a metal oxide, the precursor for atomic layer deposition may include a specified metal-containing precursor and water. For example, once formation is complete, the oxide can be removed to leave a metal layer. In other embodiments, a ruthenium containing precursor can be used. Then, during a half reaction with water, the water may not interact with the first self-assembled monolayer formed on the other exposed material, and thus the deposition may not be formed on the first self-assembled monolayer. In this way, a specific material can be selectively formed on the target area, or a specific material can be preferentially formed on the target area. It should be understood that this is only a single example, while other precursors may be utilized with similar operating principles to form the previously described specific materials for each deposition zone.

在所識別的沉積已經形成為合適的高度之後,第一自組裝單層可以暴露於UV光,並從基板移除,或者可以進行一些其他移除。以此方式,可以排除習知形成中使用的多個操作,這可以顯著減少佇列時間(例如,幾個小時)。在其他實施例中,取決於所執行的操作,可以在選擇性沉積之後執行輕微的凹陷,以從下面討論的其他暴露區域移除殘留材料。應理解,這僅為利用基於一組沉積材料的自組裝單層的實例。After the identified deposition has been formed to a suitable height, the first self-assembled monolayer may be exposed to UV light and removed from the substrate, or some other removal may be made. In this way, multiple operations used in conventional formations can be eliminated, which can significantly reduce the queue time (eg, several hours). In other embodiments, depending on the operation performed, a slight depression may be performed after selective deposition to remove residual material from other exposed regions discussed below. It should be understood that this is merely an example of utilizing a self-assembled monolayer based on a set of deposited materials.

取決針對特定沉積材料所使用的材料,自組裝單層可以朝向該材料調整。如前所述,水可以作為前驅物中之一者,而如先前所述,自組裝單層可以結構化(例如,在目標位置上包括羥基封端材料,以相對於其他暴露材料而促進形成於該材料上)。此外,含氮材料可以作為用於沉積發生的材料上的自組裝單層中之一者(例如,單層的封端部分中之一者),而可以允許吸引用於形成先前描述的材料中之一或更多者的特定前驅物。可以使用附加前驅物,而實現或有助於金屬對金屬沉積或半導體沉積。Depending on the materials used for a particular deposition material, the self-assembled monolayer can be oriented toward the material. As previously mentioned, water can be one of the precursors, and as previously described, the self-assembled monolayer can be structured (eg, including a hydroxyl-terminated material at a target location to promote formation relative to other exposed materials). On the material). In addition, the nitrogen-containing material can serve as one of the self-assembled monolayers on the material used for deposition (eg, one of the capping portions of the monolayer), while allowing for attraction to form the previously described material. Specific precursor of one or more. Additional precursors can be used to achieve or facilitate metal to metal deposition or semiconductor deposition.

因為一些暴露的金屬或半導體材料的結構,暴露的材料亦可能受到腐蝕或鈍化,以減少相對於其上發生沉積的其他材料的活性,這可允許目標材料上的沉積增加。材料的鈍化可以包括暴露於矽取代或鹵素取代的材料,這可以限制其他材料的沉積。舉例而言,材料的氧化可以利用含氧材料或含鹵材料,這可以允許優先沉積在其他表面上。一旦氧化(例如,藉由暴露於含氧材料),可以類似於所述而進行原子層沉積,其中前驅物中之一者可以包括含氧材料,這可以不與氧化材料相互作用。Because of the structure of some exposed metal or semiconductor materials, the exposed material may also be corroded or passivated to reduce activity relative to other materials on which deposition occurs, which may allow for increased deposition on the target material. Passivation of the material can include exposure to a ruthenium substituted or halogen substituted material, which can limit the deposition of other materials. For example, oxidation of the material can utilize oxygen-containing materials or halogen-containing materials, which can allow for preferential deposition on other surfaces. Once oxidized (e.g., by exposure to an oxygen-containing material), atomic layer deposition can be performed similar to that described, wherein one of the precursors can include an oxygen-containing material, which may not interact with the oxidic material.

亦可在暴露的絕緣與介電材料上執行鈍化。舉例而言,蓋材料625可以包括氧化物,例如可以經處置以將封端組調整為含矽、氫基、或含鹵素。這可以允許在其他暴露區域上優先沉積金屬材料或半導體材料。在其他實施例中,含氮前驅物可以作為原子層沉積處理中的一或兩種前驅物,這可允許相對於氧化物表面而在金屬表面上的優先沉積。Passivation can also be performed on exposed insulating and dielectric materials. For example, the cover material 625 can include an oxide, for example, can be treated to adjust the capping group to contain ruthenium, hydrogen, or halogen. This may allow preferential deposition of metallic or semiconducting materials on other exposed areas. In other embodiments, the nitrogen-containing precursor can serve as one or two precursors in the atomic layer deposition process, which can allow for preferential deposition on the metal surface relative to the oxide surface.

實施例亦可以利用抑制劑,以選擇性形成目標表面上的沉積材料中之一者,而不是形成在介電或絕緣材料或其他暴露表面上的沉積材料。舉例而言,可以跨越基板的表面施加所噴塗的抑制劑,並可以沿著基板的頂表面施加,且可以不穿透到基板的凹陷部分內。抑制劑可以是任何數量的材料,材料的特徵可以是矽氧烷主鏈(例如,矽氧烷)或四氟乙烯主鏈(例如,PTFE),以及其他油性或表面活性劑材料。可以跨越基板的頂表面施加材料,以覆蓋蓋材料625與閘極間隔物630的暴露部分。藉由使用噴塗或塗層應用,可以不將材料施加於基板的凹陷部分內,並且可以不接觸其上進行沉積的源極與汲極區域。可以組合使用附加選擇性技術,以例如先前所述在PMOS與NMOS區域上形成自組裝單層,以促進在一個位置而不是另一位置處的沉積。然後,可以例如藉由原子層沉積或其他氣相沉積或物理沉積機制來形成經選擇的材料。Embodiments may also utilize an inhibitor to selectively form one of the deposited materials on the target surface, rather than forming a deposited material on a dielectric or insulating material or other exposed surface. For example, the sprayed inhibitor can be applied across the surface of the substrate and can be applied along the top surface of the substrate and can not penetrate into the recessed portion of the substrate. The inhibitor can be any number of materials, and the material can be characterized by a siloxane backbone (e.g., a decane) or a tetrafluoroethylene backbone (e.g., PTFE), as well as other oily or surfactant materials. Material may be applied across the top surface of the substrate to cover the exposed portions of cover material 625 and gate spacers 630. By using a spray or coating application, the material may not be applied to the recessed portion of the substrate and may not contact the source and drain regions on which the deposition takes place. Additional selectivity techniques can be used in combination to form a self-assembled monolayer on the PMOS and NMOS regions, for example, as previously described to facilitate deposition at one location rather than another. The selected material can then be formed, for example, by atomic layer deposition or other vapor deposition or physical deposition mechanisms.

抑制劑材料可以防止在目標位置上可以正常形成或沉積的所沉積的材料的黏附或吸附。形成材料之後,並可以將移除劑施加到基板上,以移除抑制劑材料。移除劑可以是濕式蝕刻劑、反應物、或表面活性劑清潔劑,而可以移除讓底下的介電與絕緣材料暴露的殘留抑制劑材料。利用抑制劑可以允許在定義區域中形成單獨的層,而不需要經由隨後的毯覆膜的圖案化及/或蝕刻定義。藉由移除先前及後續的圖案化操作,處理可以進一步相對於習知處理減少佇列時間。The inhibitor material prevents adhesion or adsorption of the deposited material that can be normally formed or deposited at the target location. After the material is formed, a remover can be applied to the substrate to remove the inhibitor material. The remover can be a wet etchant, a reactant, or a surfactant cleaner, and the residual inhibitor material that exposes the underlying dielectric to the insulating material can be removed. The use of inhibitors may allow for the formation of separate layers in defined regions without the need for patterning and/or etching definitions through subsequent blanket coatings. By removing the previous and subsequent patterning operations, the process can further reduce the queue time relative to conventional processing.

抑制劑亦可以是可以中和基板的表面或使基板的表面呈現惰性的電漿應用的產物。舉例而言,改性電漿可以由一或更多個前驅物形成,而可以包括惰性前驅物。可以將電漿施加到基板的表面或升起在基板上方的材料,而可以改變暴露材料的頂表面,且可以不穿透到基板的凹陷部分內或基板表面處的部分內。舉例而言,含氮前驅物(可以是氮)可以遞送到產生電漿的處理腔室的電漿處理區域。電漿流出物(可以包括含氮電漿流出物)可以遞送到基板,並且可以沿著頂表面而沿著基板的暴露部分形成氮化表面(可以包括蓋材料625與閘極間隔物630的暴露區域)。The inhibitor may also be the product of a plasma application that can neutralize the surface of the substrate or render the surface of the substrate inert. For example, the modified plasma can be formed from one or more precursors, and can include an inert precursor. The plasma may be applied to the surface of the substrate or raised above the substrate, while the top surface of the exposed material may be altered and may not penetrate into portions of the substrate or within portions of the substrate surface. For example, a nitrogen-containing precursor (which may be nitrogen) can be delivered to the plasma processing zone of the processing chamber that produces the plasma. The plasma effluent (which may include a nitrogen-containing plasma effluent) may be delivered to the substrate and may form a nitrided surface along the exposed portion of the substrate along the top surface (which may include exposure of the capping material 625 and the gate spacer 630) region).

電漿流出物可能不遞送或者可能不流動到基板的表面,而可以維持沿著源極與汲極材料的純的或未反應的表面。然後,可以利用一或更多種沉積技術及/或附加選擇性技術來形成沉積材料(例如,形成自組裝單層),這可以包括原子層沉積或其他氣相或物理沉積。舉例而言,可以利用原子層沉積技術用電漿流出物進行後續處理,並在基板的PMOS與NMOS區域上形成自組裝單層。在沉積的每一循環之後,含氮電漿可以重新施加到基板的表面區域上(例如,在介電或絕緣材料上)。以此方式,介電材料的表面可以鈍化,以防止或限制那些區域上的沉積材料的形成。利用來自基板的升起部分上的這些電漿流出物可以允許沿著基板表面在定義區域中形成沉積材料,而不需要經由後續的毯覆膜的圖案化及/或蝕刻定義。藉由移除先前及後續的圖案化操作,處理可以進一步相對於習知處理減少佇列時間。The plasma effluent may not deliver or may not flow to the surface of the substrate, but may maintain a pure or unreacted surface along the source and the drain material. The deposition material (eg, forming a self-assembled monolayer) can then be formed using one or more deposition techniques and/or additional selectivity techniques, which can include atomic layer deposition or other vapor or physical deposition. For example, the plasma effluent can be subsequently processed using atomic layer deposition techniques to form a self-assembled monolayer on the PMOS and NMOS regions of the substrate. After each cycle of deposition, the nitrogen-containing plasma can be reapplied to the surface area of the substrate (eg, on a dielectric or insulating material). In this manner, the surface of the dielectric material can be passivated to prevent or limit the formation of deposited materials on those areas. Utilizing these plasma effluents from the raised portions of the substrate may allow deposition material to be formed in defined regions along the substrate surface without the need for patterning and/or etching definitions through subsequent blanket coatings. By removing the previous and subsequent patterning operations, the process can further reduce the queue time relative to conventional processing.

附加選擇性沉積技術可以利用溫度差,以增強相對於含氧材料的含矽材料上的沉積。舉例而言,利用含矽前驅物的原子層沉積可以在高於或約500℃的溫度下執行,並且可以在高於或約750℃、高於或約900℃、高於或約1000℃、或達到、高於、或約1100℃的溫度下執行。Additional selective deposition techniques can utilize temperature differences to enhance deposition on the cerium-containing material relative to the oxygen-containing material. For example, atomic layer deposition using a hafnium-containing precursor can be performed at temperatures above or about 500 ° C, and can be above or about 750 ° C, above or about 900 ° C, above or about 1000 ° C, It is performed at a temperature of up to, above, or about 1100 °C.

隨著溫度在此範圍內增加,可以在某些暴露的材料上以比在含氧材料(例如可以是蓋材料625)上更高的速率發生沉積。然後,可以執行所形成材料的選擇性蝕刻,以從氧化物表面移除第一介電材料。儘管亦可以在目標表面上減少第一半導體材料,但因為厚度可以比氧化物表面上的厚許多倍,所以可以執行氧化物表面的完全移除,同時維持目標表面上的厚度大於或約1nm、大於或約2nm、大於或約3nm、大於或約4nm、大於或約5nm、大於或約6nm、大於或約7nm、大於或約8nm、大於或約9nm、大或約10nm、或更大。此效果可能以習知技術所受限的方式實現本技術。在正常保形或毯覆沉積期間,目標表面的一些部分的厚度將等於蓋材料上的厚度,或者可以小於蓋材料上的厚度。因此,回蝕處理可能過度蝕刻目標區域,或者可能造成層中的穿孔。As the temperature increases within this range, deposition can occur on certain exposed materials at a higher rate than on an oxygen containing material (e.g., can be cap material 625). A selective etch of the formed material can then be performed to remove the first dielectric material from the oxide surface. Although the first semiconductor material can also be reduced on the target surface, since the thickness can be many times thicker than on the oxide surface, complete removal of the oxide surface can be performed while maintaining the thickness on the target surface greater than or about 1 nm, Greater than or about 2 nm, greater than or about 3 nm, greater than or about 4 nm, greater than or about 5 nm, greater than or about 6 nm, greater than or about 7 nm, greater than or about 8 nm, greater than or about 9 nm, greater or about 10 nm, or greater. This effect may be achieved in a manner that is limited by conventional techniques. During normal conformal or blanket deposition, portions of the target surface may have a thickness equal to the thickness on the cover material or may be less than the thickness on the cover material. Therefore, the etch back process may over-etch the target area or may cause perforations in the layer.

相對於一或更多個其他金屬、半導體、非金屬、介電質、或絕緣區域,這些技術中之任一者可以選擇性沉積或形成先前指定的材料。此外,這些技術中之二或更多者可以組合或者迭代執行,以產生具有可以發生或者可以利用更高速率發生沉積的特定區域的表面。選擇性可以是完全的,其中僅在目標位置(例如,p區域的源極與汲極610或n區域的源極與汲極615,或是中間層)上形成沉積材料,且可以完全不在其他暴露材料上形成沉積材料。在其他實施例中,選擇性可能不是完全的,而目標位置上的沉積相對於其他暴露材料的比率可以大於或約2:1。選擇性亦可以大於或約5:1、大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約35:1、大於或約40:1、大於或約45:1、大於或約50:1、大於或約75:1、大於或約100:1、大於或約200:1、或更多。如前所述,材料的沉積的厚度可以小於或約20nm、小於或約10nm、小於或約5nm、小於或約4nm、小於或約3nm、小於或約2nm、小於或約1nm、或更小。因此,低於20:1的選擇性可以是可接受的,以完全沉積單獨的層,同時在其他暴露區域上形成有限量的材料或基本上沒有形成材料。Any of these techniques may selectively deposit or form a previously specified material relative to one or more other metals, semiconductors, non-metals, dielectrics, or regions of insulation. Moreover, two or more of these techniques can be combined or iteratively performed to produce a surface having a particular area that can or can be deposited with a higher rate of deposition. The selectivity may be complete, wherein the deposition material is formed only on the target location (eg, the source of the p region and the source of the drain 610 or n region and the drain 615, or the intermediate layer), and may be completely absent A deposition material is formed on the exposed material. In other embodiments, the selectivity may not be complete, and the ratio of deposition on the target location relative to other exposed materials may be greater than or about 2:1. The selectivity may also be greater than or about 5:1, greater than or about 10:1, greater than or about 15:1, greater than or about 20:1, greater than or about 25:1, greater than or about 30:1, greater than or about 35. : 1. greater than or about 40:1, greater than or about 45:1, greater than or about 50:1, greater than or about 75:1, greater than or about 100:1, greater than or about 200:1, or more. As previously mentioned, the thickness of the deposited material can be less than or about 20 nm, less than or about 10 nm, less than or about 5 nm, less than or about 4 nm, less than or about 3 nm, less than or about 2 nm, less than or about 1 nm, or less. Thus, a selectivity below 20:1 may be acceptable to completely deposit a separate layer while forming a limited amount of material or substantially no material formation on other exposed areas.

沉積操作可以在前述的任何溫度或壓力下執行,並可以在大於或約300℃的溫度下執行,且可以在大於或約400℃、大於或約450℃、大於或約500℃、大於或約600℃、大於或約700℃、大於或約800℃、大於或約900℃、大於或約1000℃、或更高的溫度下執行。舉例而言,在原子層沉積操作期間,可以使用大於或約500℃的溫度,以活化前驅物,以在材料層形成時彼此相互作用。The deposition operation can be performed at any of the foregoing temperatures or pressures, and can be performed at temperatures greater than or about 300 ° C, and can be greater than or about 400 ° C, greater than or about 450 ° C, greater than or about 500 ° C, greater than or about Execution is carried out at a temperature of 600 ° C, greater than or about 700 ° C, greater than or about 800 ° C, greater than or about 900 ° C, greater than or about 1000 ° C, or higher. For example, during an atomic layer deposition operation, a temperature greater than or about 500 °C can be used to activate the precursors to interact with one another as the material layers are formed.

依據所執行的沉積的類型,可以利用與先前所述不同的順序進行某些操作。舉例而言,若單層(形成以用於抵抗或防止形成)可以與多於一種的材料一起使用,則可以在後續操作期間維持該層以增加效率。舉例而言,方法500係圖示為在方法700的在PMOS區域中的鍺上形成鎳合金材料之前形成砷化銦鎵。然而,若使用自組裝單層來抵抗、減少、或防止在其他暴露材料上形成鍺層,則可以在合金化金屬的後續操作期間維持這些層(例如,形成初始鍺化矽層)。以此方式,在一些實施例中,操作510與715可以顛倒,並且可以連續執行所有PMOS操作,以及可以連續執行所有NMOS操作。Depending on the type of deposition performed, certain operations may be performed in a different order than previously described. For example, if a single layer (formed to resist or prevent formation) can be used with more than one material, the layer can be maintained during subsequent operations to increase efficiency. For example, method 500 is illustrated as forming indium gallium arsenide prior to forming a nickel alloy material on the tantalum in the PMOS region of method 700. However, if a self-assembled monolayer is used to resist, reduce, or prevent the formation of a tantalum layer on other exposed materials, these layers may be maintained during subsequent operations of the alloyed metal (eg, forming an initial tantalum layer). In this manner, in some embodiments, operations 510 and 715 can be reversed, and all PMOS operations can be performed continuously, and all NMOS operations can be performed continuously.

依據形成的數量與單獨的沉積的選擇性,也可以執行一或更多個蝕刻操作。蝕刻操作可以利用乾式蝕刻化學品,而在實施例中可以利用電漿化學品。舉例而言,回蝕可以在腔室200中執行,腔室200可以與執行先前沉積的一或更多個沉積腔室在相同的群集工具上。以此方式,幾個沉積及蝕刻操作可以在單一環境中執行(例如,在腔室之間的群集工具共享)。舉例而言,附加腔室可以與一或更多個蝕刻腔室200及沉積腔室400一起,以例如用於執行UV加工,如同可以在下面描述的一些沉積技術中所使用者。每次轉移可以在真空下進行,而腔室中之每一者可以駐留在相同集群工具上,以允許轉移發生在受控環境中。舉例而言,可以在轉移期間維持真空條件,並且可以在不破壞真空的情況下進行轉移。相對於可包括附加遮罩操作、光刻、及可能需要在許多工具之間轉移的其他操作的習知技術,方法500可以在單一工具上執行,其中真空條件在實施例中不受破壞。此外,方法500可以不利用任何RIE操作,這可減少聚合物堆積以及與RIE相關聯的必要的灰化及清潔操作。One or more etching operations may also be performed depending on the amount formed and the selectivity of the individual deposition. The etching operation can utilize dry etch chemistry, while in embodiments the plasmonic chemicals can be utilized. For example, etchback can be performed in chamber 200, which can be on the same cluster tool as the one or more deposition chambers that performed the previous deposition. In this way, several deposition and etching operations can be performed in a single environment (eg, shared by cluster tools between chambers). For example, an additional chamber may be used with one or more etch chambers 200 and deposition chambers 400, for example, to perform UV processing, as may be used in some deposition techniques described below. Each transfer can be done under vacuum, and each of the chambers can reside on the same cluster tool to allow the transfer to occur in a controlled environment. For example, vacuum conditions can be maintained during transfer and transfer can be performed without breaking the vacuum. Method 500 can be performed on a single tool with respect to conventional techniques that can include additional masking operations, lithography, and other operations that may require transfer between many tools, where vacuum conditions are not compromised in the embodiments. Moreover, method 500 may not utilize any RIE operation, which may reduce polymer buildup and the necessary ashing and cleaning operations associated with RIE.

蝕刻操作可以利用電漿激發的前驅物來執行,並且可能涉及與特定的含氟前驅物一起的附加前驅物。在一些實施例中,可以使用三氟化氮來產生電漿流出物。亦可以利用附加或可替代的含氟前驅物。舉例而言,含氟前驅物可以流入遠端電漿區域,而含氟前驅物可以包括選自原子氟、雙原子氟、三氟化溴、三氟化氯、三氟化氮、氟化氫、六氟化硫、及二氟化氙的群組的至少一個前驅物。遠端電漿區域可以在與處理腔室不同的模組內或在處理腔室內的隔間內。如第2圖所示,RPS單元201與第一電漿區域215二者可以作為遠端電漿區域。RPS可以允許電漿流出物解離而不會損傷其他腔室部件,而第一電漿區域215可以提供到基板的較短路徑長度,在此期間可能發生重組。The etching operation can be performed using a plasma excited precursor and may involve additional precursors along with a particular fluorine-containing precursor. In some embodiments, nitrogen trifluoride can be used to produce a plasma effluent. Additional or alternative fluorine precursors may also be utilized. For example, the fluorine-containing precursor may flow into the distal plasma region, and the fluorine-containing precursor may include a group selected from the group consisting of atomic fluorine, diatomic fluorine, bromine trifluoride, chlorine trifluoride, nitrogen trifluoride, hydrogen fluoride, and six. At least one precursor of the group of sulfur fluoride, and antimony difluoride. The distal plasma zone can be in a different module than the processing chamber or in a compartment within the processing chamber. As shown in FIG. 2, both the RPS unit 201 and the first plasma region 215 can serve as a distal plasma region. The RPS can allow the plasma effluent to dissociate without damaging other chamber components, while the first plasma region 215 can provide a shorter path length to the substrate during which recombination can occur.

附加前驅物亦可以遞送到遠端電漿區域,以增強含氟前驅物。舉例而言,含氮及氫的前驅物、含氧前驅物、或氫前驅物可以與含氟前驅物一起遞送。舉例而言,附加前驅物可以是含氮前驅物(例如,氨),或者可以是氧、氫、或包括一或更多種這些成分的任何數量的前驅物。附加前驅物可以在未激發的狀態中流入處理腔室,以與基板表面相互作用。這些配方可以相對於其他暴露材料中之一或更多者選擇性蝕刻暴露材料中之一者。舉例而言,蝕刻化學品可以相對於暴露的蓋與間隔物材料以及相對於其他形成的材料而用於使一或更多種合金材料以及任何磊晶生長的材料凹陷。蝕刻的選擇性可以大於或約10:1、大於或約20:1、大於或約50:1、大於或約75:1、大於或約為100:1、大於或約為150:1、或更多。以此方式,可以在預期位置所形成的材料損失最小的情況下移除可能在沉積期間已形成的所有附加材料。因為相較於在其他暴露材料上,沉積的選擇性可以在預期位置產生至少兩倍的材料,所以此舉可能發生。Additional precursors can also be delivered to the remote plasma region to enhance the fluorine-containing precursor. For example, a nitrogen and hydrogen containing precursor, an oxygenated precursor, or a hydrogen precursor can be delivered with a fluorine-containing precursor. For example, the additional precursor can be a nitrogen-containing precursor (eg, ammonia), or can be oxygen, hydrogen, or any number of precursors including one or more of these components. The additional precursor can flow into the processing chamber in an unexcited state to interact with the substrate surface. These formulations can selectively etch one of the exposed materials relative to one or more of the other exposed materials. For example, the etch chemistry can be used to recess one or more alloy materials and any epitaxially grown material relative to the exposed cap and spacer material and relative to other formed materials. The etch selectivity may be greater than or about 10:1, greater than or about 20:1, greater than or about 50:1, greater than or about 75:1, greater than or about 100:1, greater than or about 150:1, or More. In this way, all of the additional material that may have formed during deposition can be removed with minimal material loss at the desired location. This can occur because the selectivity of the deposit can produce at least twice the material at the desired location compared to other exposed materials.

在實施例中,蝕刻操作可以在低於約10Torr的情況下執行,以及在實施例中可以在低於或約5Torr的情況下執行。在實施例中,處理亦可以在低於約100℃的溫度下執行,並且可以在低於約50℃的情況下執行。隨著在腔室200或此腔室的變化中執行,或者在能夠執行類似操作的不同腔室中執行,處理可以對於跨越基板的其他暴露材料具有選擇性而移除所沉積的材料的部分。相較於習知技術,藉由利用本技術,可以利用更多的選擇性形成及移除來執行製造,並且可以比習知處理減少數小時的佇列時間。In an embodiment, the etching operation can be performed below about 10 Torr, and in embodiments can be performed below or about 5 Torr. In embodiments, the treatment may also be performed at temperatures below about 100 °C and may be performed below about 50 °C. As performed in variations of the chamber 200 or such chambers, or in different chambers capable of performing similar operations, the process may be selective to remove other portions of the deposited material for other exposed materials across the substrate. By utilizing the present technology, manufacturing can be performed with more selective formation and removal than conventional techniques, and can reduce the queue time by several hours compared to conventional processing.

在先前描述中,為了解釋之目的,已經闡述許多細節,以提供對於本技術的各種實施例的理解。然而,對於該領域具有通常知識者顯而易見的是,可以在沒有這些細節中之一些或在具有附加細節的情況下實施某些實施例。In the previous description, numerous details have been set forth in order to provide an understanding of various embodiments of the present invention. However, it will be apparent to those skilled in the art that certain embodiments may be practiced without some of these details or with additional details.

已揭示幾個實施例,但應理解,該領域具有通常知識者可以在不悖離實施例的精神的情況下使用各種修改、替代構造、及等同物。此外,為了避免不必要地模糊本技術,並未描述許多已知的處理及元件。因此,上面的描述不應視為限制本技術之範疇。The invention has been described in terms of a number of modifications, alternative constructions, and equivalents, which can be used in the art without departing from the spirit of the embodiments. Moreover, many of the known processes and elements are not described in order to avoid unnecessarily obscuring the present technology. Therefore, the above description should not be taken as limiting the scope of the technology.

當提供值的範圍時,應理解,除非上下文另有明確說明,亦具體揭示該範圍的上限與下限之間的每一中間值到下限單位的最小部分。包括在所述範圍中的任何所述值或未敘述的中間值與所述範圍中的任何其他所述或中間值之間的任何較窄範圍。這些較小範圍的上限與下限可以獨立地包括在範圍中或排除在外,而包括上下限其中一者、两者或不含上下限的較小範圍中的每一範圍亦包括在本技術內,取決於所述範圍中特別排除的限制。在所述範圍包括一或二個限制的情況下,則亦包括排除這些所包括限制中的一或二者的範圍。When a range of values is provided, it is to be understood that unless the context clearly dictates otherwise, each intermediate value between the upper and lower limits of the range is specifically disclosed. Any narrower range between any stated or un recited intermediate value in the range and any other stated or intermediate value in the range. The upper and lower limits of these smaller ranges may be independently included in the range or excluded, and each of the smaller ranges including one or both of the upper and lower limits and the upper and lower limits are also included in the present technology. Depending on the limits specifically excluded from the stated range. Where the stated range includes one or two limitations, the scope of the one or both of the

如本文及隨附專利申請範圍中所使用,除非上下文另有明確說明,否則單數形式「一」、「一個」、及「該」包括複數指稱。因此,舉例而言,指稱「一層」包括複數個這樣的層,而指稱「前驅物」包括指稱該領域具有通常知識者已知的一或更多個前驅物及其等同物等等。The singular forms "a", "an" and "the" Thus, for example, reference to "a" or "an" or "an" or "an"

此外,在本說明書及以下請求項中使用詞語「包含」、「所包含」、「含有」、「所含有」、「包括」、及「所包括」時,意欲在指定所述特徵、整體、部件、或操作的存在,但是不排除一或更多個其他特徵、整體、部件、操作、動作、或群組的存在或附加。In addition, the words "including", "including", "including", "including", "including", and "including" are used in the specification and the following claims. The existence of a component, or operation, does not exclude the presence or addition of one or more other features, components, components, operations, acts, or groups.

10‧‧‧裝載閘腔室10‧‧‧Loading lock chamber

15‧‧‧隔離閥15‧‧‧Isolation valve

20‧‧‧處理腔室20‧‧‧Processing chamber

30‧‧‧氣體分配板30‧‧‧ gas distribution board

60‧‧‧基板60‧‧‧Substrate

61‧‧‧第一表面61‧‧‧ first surface

65‧‧‧搬運梭65‧‧‧Transportation shuttle

70‧‧‧軌道70‧‧‧ Track

90‧‧‧輻射熱源90‧‧‧radiation heat source

100‧‧‧處理系統100‧‧‧Processing system

102‧‧‧前開式晶圓盒102‧‧‧Front open wafer cassette

104‧‧‧機器臂104‧‧‧Machine arm

106‧‧‧托持區域106‧‧‧holding area

108a‧‧‧處理腔室108a‧‧‧Processing chamber

108b‧‧‧處理腔室108b‧‧‧Processing chamber

108c‧‧‧處理腔室108c‧‧‧Processing chamber

108d‧‧‧處理腔室108d‧‧‧Processing chamber

108e‧‧‧處理腔室108e‧‧‧Processing chamber

108f‧‧‧處理腔室108f‧‧‧Processing chamber

109a‧‧‧串聯區段109a‧‧‧Series section

109b‧‧‧串聯區段109b‧‧‧Series section

109c‧‧‧串聯區段109c‧‧‧Series section

110‧‧‧第二機器臂110‧‧‧Second robotic arm

200‧‧‧腔室200‧‧‧ chamber

201‧‧‧RPS單元201‧‧‧RPS unit

203‧‧‧冷卻板203‧‧‧Cooling plate

205‧‧‧氣體入口組件205‧‧‧ gas inlet assembly

210‧‧‧流體供應系統210‧‧‧Fluid Supply System

214‧‧‧上板214‧‧‧Upper board

215‧‧‧第一電漿區域215‧‧‧First plasma area

216‧‧‧下板216‧‧‧ Lower board

217‧‧‧面板217‧‧‧ panel

218‧‧‧容積218‧‧‧ volume

219‧‧‧第一流體通道219‧‧‧First fluid passage

220‧‧‧絕緣環220‧‧‧Insulation ring

221‧‧‧第二流體通道221‧‧‧Second fluid channel

223‧‧‧離子抑制器223‧‧‧Ion suppressor

225‧‧‧噴淋頭225‧‧‧Sprinkler

233‧‧‧基板處理區域233‧‧‧Substrate processing area

240‧‧‧功率供應器240‧‧‧Power supply

253‧‧‧詳細視圖253‧‧‧Detailed view

255‧‧‧基板255‧‧‧Substrate

258‧‧‧氣體供應區域258‧‧‧ gas supply area

259‧‧‧孔隙259‧‧‧ pores

265‧‧‧台座265‧‧‧ pedestal

325‧‧‧噴淋頭325‧‧‧Sprinkler

365‧‧‧通孔365‧‧‧through hole

375‧‧‧小孔洞375‧‧‧Small holes

400‧‧‧腔室400‧‧‧ chamber

420‧‧‧注射器420‧‧‧Syringe

425‧‧‧氣體埠425‧‧‧ gas 埠

430‧‧‧注射器430‧‧‧Syringe

435‧‧‧氣體埠435‧‧‧ gas 埠

440‧‧‧注射器440‧‧‧Syringe

445‧‧‧氣體埠445‧‧‧ gas 埠

450‧‧‧泵送系統450‧‧‧ pumping system

455‧‧‧真空埠455‧‧‧vacuum

460‧‧‧分區460‧‧‧ partition

498‧‧‧箭頭498‧‧‧ arrow

500‧‧‧方法500‧‧‧ method

505‧‧‧操作505‧‧‧ operation

510‧‧‧操作510‧‧‧ operation

600‧‧‧基板600‧‧‧Substrate

605‧‧‧基板605‧‧‧Substrate

610‧‧‧p區域的源極與汲極Source and bungee of the 610‧‧‧p area

615‧‧‧n區域的源極與汲極Source and bungee in the 615‧‧‧n area

620‧‧‧金屬閘極620‧‧‧Metal gate

625‧‧‧蓋材料625‧‧‧ Cover material

630‧‧‧閘極間隔物630‧‧‧gate spacer

635‧‧‧第一半導體材料635‧‧‧First semiconductor material

640‧‧‧第二半導體材料640‧‧‧second semiconductor material

700‧‧‧方法700‧‧‧ method

712‧‧‧操作712‧‧‧ operation

715‧‧‧操作715‧‧‧ operation

718‧‧‧操作718‧‧‧ operation

720‧‧‧操作720‧‧‧ operation

845‧‧‧第一合金材料845‧‧‧First alloy material

850‧‧‧第二合金材料850‧‧‧Second alloy material

可以藉由參照說明書及圖式的其餘部分來實現所揭示的技術的本質及優點的進一步理解。A further understanding of the nature and advantages of the disclosed technology can be realized by reference to the description and the accompanying drawings.

第1圖圖示根據本技術的實施例的示例性處理系統的頂視平面圖。FIG. 1 illustrates a top plan view of an exemplary processing system in accordance with an embodiment of the present technology.

第2A圖圖示根據本技術的實施例的示例性處理腔室的示意性橫截面圖。2A illustrates a schematic cross-sectional view of an exemplary processing chamber in accordance with an embodiment of the present technology.

第2B圖圖示根據本技術的實施例的示例性面板的詳細視圖。2B illustrates a detailed view of an exemplary panel in accordance with an embodiment of the present technology.

第3圖圖示根據本技術的實施例的示例性噴淋頭的底視平面圖。FIG. 3 illustrates a bottom plan view of an exemplary showerhead in accordance with an embodiment of the present technology.

第4圖圖示根據本技術的實施例的示例性處理腔室的示意性橫截面圖。FIG. 4 illustrates a schematic cross-sectional view of an exemplary processing chamber in accordance with an embodiment of the present technology.

第5圖圖示根據本技術的實施例的形成半導體結構的方法中的所選擇操作。FIG. 5 illustrates selected operations in a method of forming a semiconductor structure in accordance with an embodiment of the present technology.

第6A圖至第6B圖圖示根據本技術的實施例的示例性基板的示意性橫截面圖。6A through 6B illustrate schematic cross-sectional views of an exemplary substrate in accordance with an embodiment of the present technology.

第7圖圖示根據本技術的實施例的形成半導體結構的方法中的所選擇操作。FIG. 7 illustrates selected operations in a method of forming a semiconductor structure in accordance with an embodiment of the present technology.

第8A圖至第8B圖圖示根據本技術的實施例的示例性基板的示意性橫截面圖。8A through 8B illustrate schematic cross-sectional views of an exemplary substrate in accordance with an embodiment of the present technology.

包括圖式中的幾個作為示意圖。應理解,圖式僅用於說明目的,而除非特別聲明按比例,否則不應視為按比例。此外,作為示意圖,提供圖式為幫助理解,並且可能圖式不包括相較於實際表示的所有態樣或資訊,並且可能包括用於說明目的之誇大材料。Several of the figures are included as schematics. It is understood that the drawings are for illustrative purposes only and are not to In addition, as a schematic, the drawings are provided to aid understanding, and the drawings may not include all aspects or information as compared to the actual representation, and may include exaggerated materials for illustrative purposes.

在隨附圖式中,類似的部件及/或特徵可以具有相同的元件符號。此外,相同類型的各種部件可以藉由在元件符號後利用字母來區分,以區分類似部件。若在說明書中僅使用最前面的元件符號,則該描述係適用於具有相同最前面的元件符號的任何一個類似部件,而與字母無關。Similar components and/or features may have the same component symbols in the accompanying drawings. Furthermore, various components of the same type may be distinguished by the use of letters after the component symbols to distinguish similar components. If only the foremost component symbol is used in the specification, the description applies to any similar component having the same topmost component symbol, regardless of the letter.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic deposit information (please note according to the order of the depository, date, number)

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Foreign deposit information (please note in the order of country, organization, date, number)

Claims (20)

一種形成一半導體結構的方法,該方法包含以下步驟: 在一半導體基板上沉積一第一半導體材料,其中該第一半導體材料相對於一第二含矽材料而選擇性沉積在一第一含矽材料上;以及 在該半導體基板上沉積一第二半導體材料,其中該第二半導體材料相對於該第一半導體材料而選擇性沉積在該第二含矽材料上。A method of forming a semiconductor structure, the method comprising the steps of: depositing a first semiconductor material on a semiconductor substrate, wherein the first semiconductor material is selectively deposited on a first germanium relative to a second germanium containing material And depositing a second semiconductor material on the semiconductor substrate, wherein the second semiconductor material is selectively deposited on the second germanium-containing material relative to the first semiconductor material. 如請求項1所述之形成一半導體結構的方法,其中該第一半導體材料相對於該半導體基板的一NMOS區域而沉積在該半導體基板的一PMOS區域上。A method of forming a semiconductor structure according to claim 1, wherein the first semiconductor material is deposited on a PMOS region of the semiconductor substrate with respect to an NMOS region of the semiconductor substrate. 如請求項1所述之形成一半導體結構的方法,其中該第一半導體材料包含鍺,且其中該第一含矽材料包含鍺化矽。A method of forming a semiconductor structure according to claim 1, wherein the first semiconductor material comprises germanium, and wherein the first germanium-containing material comprises germanium germanium. 如請求項3所述之形成一半導體結構的方法,其中該第二含矽材料包含磷。A method of forming a semiconductor structure according to claim 3, wherein the second ruthenium-containing material comprises phosphorus. 如請求項1所述之形成一半導體結構的方法,其中該方法係在並未進行一活性離子蝕刻操作的情況下執行。A method of forming a semiconductor structure as described in claim 1, wherein the method is performed without performing a reactive ion etching operation. 如請求項1所述之形成一半導體結構的方法,其中利用該第一含矽材料相對於該第二含矽材料大於或約2:1的一選擇性而執行該第一半導體材料沉積。A method of forming a semiconductor structure according to claim 1, wherein the depositing of the first semiconductor material is performed using a selectivity of the first germanium-containing material relative to the second germanium-containing material by greater than or about 2:1. 如請求項1所述之形成一半導體結構的方法,其中該沉積相對於該半導體基板的一PMOS區域而發生在該半導體基板的一NMOS區域上。A method of forming a semiconductor structure according to claim 1, wherein the depositing occurs on an NMOS region of the semiconductor substrate with respect to a PMOS region of the semiconductor substrate. 如請求項7所述之形成一半導體結構的方法,其中該方法係在並未在該PMOS區域上形成一光阻的情況下執行。A method of forming a semiconductor structure as described in claim 7, wherein the method is performed without forming a photoresist on the PMOS region. 如請求項1所述之形成一半導體結構的方法,其中該第一半導體材料包含銦,且其中該第一含矽材料包含磷化矽。A method of forming a semiconductor structure according to claim 1, wherein the first semiconductor material comprises indium, and wherein the first germanium-containing material comprises germanium phosphide. 如請求項9所述之形成一半導體結構的方法,其中該第二含矽材料包含鍺。A method of forming a semiconductor structure according to claim 9, wherein the second ruthenium-containing material comprises ruthenium. 如請求項9所述之形成一半導體結構的方法,其中該第一半導體材料係為砷化銦鎵。A method of forming a semiconductor structure according to claim 9, wherein the first semiconductor material is indium gallium arsenide. 一種形成一半導體結構的方法,該方法包含以下步驟: 在一第一半導體材料上沉積一第一合金材料,其中該合金材料相對於一氮化物材料或一氧化物材料而選擇性沉積在該第一半導體材料上;以及 隨後在一第二半導體材料上沉積一第二合金材料,其中該第二合金材料相對於該氮化物材料或該氧化物材料而選擇性沉積在該第二半導體材料上。A method of forming a semiconductor structure, the method comprising the steps of: depositing a first alloy material on a first semiconductor material, wherein the alloy material is selectively deposited on the first material relative to a nitride material or an oxide material And a second alloy material is deposited on a second semiconductor material, wherein the second alloy material is selectively deposited on the second semiconductor material relative to the nitride material or the oxide material. 請求項12所述之形成一半導體結構的方法,其中該第一合金材料係沉積在位於該半導體基板的一NMOS區域中的一含銦材料上,且其中該第二合金材料係沉積在位於該半導體基板的一PMOS區域中的一含鍺材料上。The method of forming a semiconductor structure according to claim 12, wherein the first alloy material is deposited on an indium-containing material in an NMOS region of the semiconductor substrate, and wherein the second alloy material is deposited thereon A germanium-containing material in a PMOS region of the semiconductor substrate. 如請求項12所述之形成一半導體結構的方法,其中該氮化物材料包含氮化矽,且其中該氧化物材料包含氧化矽、碳氧化矽、或一金屬氧化物。。A method of forming a semiconductor structure according to claim 12, wherein the nitride material comprises tantalum nitride, and wherein the oxide material comprises hafnium oxide, tantalum carbonoxide, or a metal oxide. . 如請求項14所述之形成一半導體結構的方法,其中該金屬氧化物包含氧化鎢或氧化鋁。A method of forming a semiconductor structure according to claim 14, wherein the metal oxide comprises tungsten oxide or aluminum oxide. 如請求項12所述之形成一半導體結構的方法,其中該方法係在並未進行一活性離子蝕刻操作的情況下執行。A method of forming a semiconductor structure as described in claim 12, wherein the method is performed without performing a reactive ion etching operation. 如請求項12所述之形成一半導體結構的方法,其中該第二合金材料包含一含鎳材料。A method of forming a semiconductor structure according to claim 12, wherein the second alloy material comprises a nickel-containing material. 如請求項17所述之形成一半導體結構的方法,其中該第二合金材料包括鎳矽鍺。A method of forming a semiconductor structure according to claim 17, wherein the second alloy material comprises nickel ruthenium. 如請求項12所述之形成一半導體結構的方法,其中該第一合金材料包含一含鈦材料。A method of forming a semiconductor structure according to claim 12, wherein the first alloy material comprises a titanium-containing material. 如請求項19所述之形成一半導體結構的方法,其中該第一合金材料包含鈦矽氮化物。A method of forming a semiconductor structure according to claim 19, wherein the first alloy material comprises titanium niobium nitride.
TW107113498A 2017-04-20 2018-04-20 Methods of forming semiconductor structures TWI778048B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762487662P 2017-04-20 2017-04-20
US62/487,662 2017-04-20

Publications (2)

Publication Number Publication Date
TW201903841A true TW201903841A (en) 2019-01-16
TWI778048B TWI778048B (en) 2022-09-21

Family

ID=63857031

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113498A TWI778048B (en) 2017-04-20 2018-04-20 Methods of forming semiconductor structures

Country Status (2)

Country Link
TW (1) TWI778048B (en)
WO (1) WO2018195420A1 (en)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232607B2 (en) * 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
WO2013095377A1 (en) * 2011-12-20 2013-06-27 Intel Corporation Self-aligned contact metallization for reduced contact resistance
US8921191B2 (en) * 2013-02-05 2014-12-30 GlobalFoundries, Inc. Integrated circuits including FINFET devices with lower contact resistance and reduced parasitic capacitance and methods for fabricating the same
US8940640B2 (en) * 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9406804B2 (en) * 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
CN105280492B (en) * 2014-07-21 2018-08-10 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
US9607838B1 (en) * 2015-09-18 2017-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Enhanced channel strain to reduce contact resistance in NMOS FET devices

Also Published As

Publication number Publication date
TWI778048B (en) 2022-09-21
WO2018195420A1 (en) 2018-10-25

Similar Documents

Publication Publication Date Title
KR102332767B1 (en) Self-limiting atomic thermal etching systems and methods
US10573527B2 (en) Gas-phase selective etching systems and methods
US10714354B2 (en) Self limiting lateral atomic layer etch
US11742212B2 (en) Directional deposition in etch chamber
TWI775839B (en) Structure with selective barrier layer
TWI790265B (en) Improved metal contact landing structure
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
US11121002B2 (en) Systems and methods for etching metals and metal derivatives
US20220351979A1 (en) Systems and methods for selective metal compound removal
TWI758464B (en) Selective formation of silicon-containing spacer
TWI751326B (en) Self-aligned via process flow
TWI774754B (en) Self-aligned contact and gate process flow
TWI778048B (en) Methods of forming semiconductor structures
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
US10256112B1 (en) Selective tungsten removal
TWI782981B (en) Conversion of sub-fin to soi
TWI798215B (en) Selective sidewall spacers
WO2024006088A1 (en) Integrated high aspect ratio etching

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent