TWI782981B - Conversion of sub-fin to soi - Google Patents

Conversion of sub-fin to soi Download PDF

Info

Publication number
TWI782981B
TWI782981B TW107113485A TW107113485A TWI782981B TW I782981 B TWI782981 B TW I782981B TW 107113485 A TW107113485 A TW 107113485A TW 107113485 A TW107113485 A TW 107113485A TW I782981 B TWI782981 B TW I782981B
Authority
TW
Taiwan
Prior art keywords
containing material
dielectric material
silicon
oxygen
semiconductor structure
Prior art date
Application number
TW107113485A
Other languages
Chinese (zh)
Other versions
TW201842557A (en
Inventor
高拉夫 塔瑞加
立群 夏
吉鏞 李
Original Assignee
美商微材料有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商微材料有限責任公司 filed Critical 美商微材料有限責任公司
Publication of TW201842557A publication Critical patent/TW201842557A/en
Application granted granted Critical
Publication of TWI782981B publication Critical patent/TWI782981B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Abstract

Processing methods may be performed to form semiconductor structures that may include fin elements on dielectric materials. The methods may include depositing a first dielectric material on a silicon element on a semiconductor substrate. The first dielectric material may be selectively deposited on the silicon element relative to exposed regions of a second dielectric material. The methods may include converting a portion of the silicon element to silicon oxide. The methods may also include selectively etching the first dielectric material from the silicon element.

Description

子鰭片至絕緣體矽之轉換Sub-fin to Si-on-insulator conversion

本技術係關於半導體系統、處理、及裝備。更具體而言,本技術係關於用於在半導體裝置上選擇性蝕刻及選擇性沉積材料層的系統及方法。The technology relates to semiconductor systems, processes, and equipment. More specifically, the present technology relates to systems and methods for selectively etching and selectively depositing layers of materials on semiconductor devices.

可能藉由在基板表面上產生錯綜複雜圖案化的材料層的處理來製成積體電路。在基板上產生圖案化的材料需要用於移除暴露的材料的控制方法。化學蝕刻係用於多種目的,包括將光抗蝕劑中的圖案轉移到底下的層中、減薄層、或已呈現於表面上的特徵的減薄橫向尺寸。通常期望具有蝕刻一種材料比另一種更快的蝕刻處理,以促進例如圖案轉移處理或單獨材料移除。據說這種蝕刻處理對於第一材料具有選擇性。由於材料、電路、及處理的多樣性,已開發對多種材料具有選擇性的蝕刻處理。然而,通常使用毯覆塗層或保形填充而繼續跨越基板而執行沉積處理。Integrated circuits may be made by processes that create intricately patterned layers of material on the surface of a substrate. Generating patterned material on a substrate requires a controlled method for removing exposed material. Chemical etching is used for a variety of purposes including transferring the pattern in the photoresist into underlying layers, thinning layers, or thinning the lateral dimensions of features already present on the surface. It is often desirable to have an etch process that etches one material faster than the other to facilitate eg pattern transfer processes or individual material removal. This etching process is said to be selective to the first material. Due to the variety of materials, circuits, and processes, etch processes have been developed that are selective to a variety of materials. Typically, however, the deposition process continues across the substrate using blanket coating or conformal fill.

隨著裝置尺寸在下一代裝置中持續縮小,當形成於特定層中的材料只有幾奈米時,選擇性可以發揮更大的作用(特別是當材料為電晶體形成中的關鍵時)。各種材料之間已開發許多不同的蝕刻處理選擇性,但是標準選擇性可能不再適用於當前及未來的裝置規模。此外,基於形成及保護跨越裝置的特徵的各種關鍵尺寸所需的遮罩、形成、及移除操作的數量,處理的佇列時間繼續增加,同時在基板上的其他處執行圖案化及形成。As device dimensions continue to shrink in next-generation devices, selectivity can play a greater role when the material formed in a particular layer is only a few nanometers (especially when the material is critical in transistor formation). Many different etch process selectivities have been developed between various materials, but standard selectivities may no longer be appropriate for current and future device scales. Furthermore, queue times for processing continue to increase based on the number of masking, forming, and removal operations required to form and protect various critical dimensions of features spanning the device while patterning and forming are performed elsewhere on the substrate.

因此,需要一種可用於生產高品質的裝置及結構改善的系統及方法。本技術解決了這些及其他需求。Therefore, there is a need for a system and method for producing high quality devices and structural improvements. The present technology addresses these and other needs.

可以執行處理方法來形成可包括介電材料上的鰭片元件的半導體結構。該方法可包括以下步驟:在半導體基板上的矽元件上沉積第一介電材料。第一介電材料可以相對於第二介電材料的暴露區域而選擇性沉積在矽元件上。該方法可以包括以下步驟:將矽元件的一部分轉換成氧化矽。該方法亦可以包括以下步驟:從矽元件選擇性蝕刻第一介電材料。Processing methods may be performed to form semiconductor structures that may include fin elements on dielectric materials. The method may include the step of depositing a first dielectric material on a silicon element on a semiconductor substrate. The first dielectric material can be selectively deposited on the silicon element relative to the exposed areas of the second dielectric material. The method may include the step of converting a portion of the silicon element into silicon oxide. The method may also include the step of selectively etching the first dielectric material from the silicon device.

在一些實施例中,第一介電材料可以包括氮化矽,而第二介電材料可以包括氧化矽。沉積可以包括原子層沉積處理。轉換可以包括在高於或約100℃的溫度下執行退火。退火可以轉換位於第二介電材料上的矽元件的一部分。退火可以包括濕式退火或乾式退火。該方法亦可包括以下步驟:在第一介電材料周圍沉積附加的第二介電材料。該方法可以進一步包括以下步驟:在轉換之後,使第二介電材料凹陷。可以利用矽元件相對於第二介電材料大於或約2:1的選擇性來執行第一介電材料沉積。矽元件可以包括特徵為小於20nm的寬度的鰭片。In some embodiments, the first dielectric material may include silicon nitride, and the second dielectric material may include silicon oxide. Deposition may include atomic layer deposition processing. Converting may include performing annealing at a temperature above or about 100°C. The anneal converts a portion of the silicon device on the second dielectric material. Annealing may include wet annealing or dry annealing. The method may also include the step of depositing an additional second dielectric material around the first dielectric material. The method may further include the step of recessing the second dielectric material after switching. Deposition of the first dielectric material may be performed with a selectivity of greater than or about 2:1 for silicon elements relative to the second dielectric material. Silicon devices may include fins characterized by widths of less than 20 nm.

本技術亦可包括一種形成半導體結構的方法。該方法可以包括以下步驟:在從半導體基板延伸的矽元件上沉積含氮材料。含氮材料可以相對於含氧材料的暴露區域而選擇性沉積在矽元件上。矽元件的第一部分可以包含在含氧材料內。此外,可以在從含氧材料延伸的矽元件的第二部分周圍沉積含氮材料。該方法亦可包括以下步驟:將矽元件的第一部分轉換成氧化矽。該方法可以包括以下步驟:從矽元件選擇性蝕刻含氮材料。The technology can also include a method of forming a semiconductor structure. The method may include the step of depositing a nitrogen-containing material on a silicon element extending from a semiconductor substrate. The nitrogen-containing material can be selectively deposited on the silicon element relative to the exposed areas of the oxygen-containing material. A first portion of the silicon element may be contained within an oxygen-containing material. Additionally, a nitrogen-containing material may be deposited around a second portion of the silicon element extending from the oxygen-containing material. The method may also include the step of converting the first portion of the silicon device into silicon oxide. The method may include the step of selectively etching nitrogen-containing material from the silicon element.

在一些實施例中,該方法可以進一步包括以下步驟:在所沉積的含氮材料周圍沉積附加的含氧材料。附加的含氧材料可以延伸到含氮材料的相等高度。矽元件的第二部分的特徵可以是多達約200nm的高度。將矽元件的第一部分轉換成氧化矽的步驟可以將小於10%的矽元件的第二部分轉換成氧化矽。將矽元件的第一部分轉換成氧化矽的步驟可以將小於5nm的矽元件的第二部分轉換成氧化矽。轉換可以包括在高於或約500℃的溫度下執行退火。退火可以包括濕式退火或乾式退火。In some embodiments, the method may further include the step of depositing additional oxygen-containing material around the deposited nitrogen-containing material. Additional oxygen-containing material may extend to an equal height of nitrogen-containing material. Features of the second portion of the silicon device may be up to about 200nm in height. The step of converting the first portion of the silicon element to silicon oxide converts less than 10% of the second portion of the silicon element to silicon oxide. The step of converting the first portion of the silicon device to silicon oxide converts the second portion of the silicon device smaller than 5nm to silicon oxide. Converting may include performing annealing at a temperature greater than or about 500°C. Annealing may include wet annealing or dry annealing.

本技術亦包括一種形成半導體結構的方法。該方法可以包括以下步驟:在從半導體基板延伸的矽元件上沉積含氮材料。含氮材料可以相對於含氧材料的暴露區域而選擇性沉積在矽元件上。矽元件的第一部分可以包含在含氧材料內。此外,可以在從含氧材料延伸的矽元件的第二部分周圍沉積含氮材料。該方法可以包括以下步驟:在所沉積的含氮材料周圍沉積附加的含氧材料。該方法可以包括以下步驟:執行退火,以將矽元件的第一部分轉換成氧化矽。該方法可以包括以下步驟:從半導體結構選擇性蝕刻附加的含氧材料。該方法亦可以包括以下步驟:從矽元件選擇性蝕刻含氮材料。在一些實施例中,每一選擇性蝕刻可以利用含氟電漿的流出物。The technology also includes a method of forming a semiconductor structure. The method may include the step of depositing a nitrogen-containing material on a silicon element extending from a semiconductor substrate. The nitrogen-containing material can be selectively deposited on the silicon element relative to the exposed areas of the oxygen-containing material. A first portion of the silicon element may be contained within an oxygen-containing material. Additionally, a nitrogen-containing material may be deposited around a second portion of the silicon element extending from the oxygen-containing material. The method may include the step of depositing additional oxygen-containing material around the deposited nitrogen-containing material. The method may include the step of: performing an anneal to convert the first portion of the silicon element into silicon oxide. The method may include the step of selectively etching additional oxygen-containing material from the semiconductor structure. The method may also include the step of selectively etching nitrogen-containing material from the silicon device. In some embodiments, each selective etch may utilize the effluent of a fluorine-containing plasma.

這樣的技術可以提供優於習知系統及技術的許多益處。舉例而言,處理可以藉由利用不包括反應離子蝕刻的技術來保護關鍵尺寸,並提供改善的選擇性。此外,藉由執行選擇性操作,可以不需要專用基板。結合以下描述及隨附圖式,更詳細地描述這些及其他實施例以及其許多優點及特徵。Such techniques can provide many benefits over conventional systems and techniques. For example, processing can preserve critical dimensions and provide improved selectivity by utilizing techniques that do not include reactive ion etching. Furthermore, by performing selective operations, a dedicated substrate may not be required. These and other embodiments, along with their many advantages and features, are described in more detail in conjunction with the following description and accompanying drawings.

本發明的技術包括用於小節距特徵的半導體處理的系統及部件。在傳統的鰭片FET裝置中,通常在絕緣體矽(「SOI」)基板上形成矽鰭片。矽鰭片係作為閘極電極操作,以允許多個閘極在單一電晶體上操作。可以在SOI晶圓上開發這種鰭片。然而,業界並未普遍採用SOI晶圓,而這些晶圓可能比標準矽晶圓貴很多倍。矽結構可以包括在矽晶圓內蝕刻鰭片,然後毯覆沉積及凹陷介電質。然而,被覆蓋的矽若不轉換成氧化矽,則可能在截止狀態期間造成電流洩漏。由於在結構上方延伸的鰭片電極的附加轉換,因此利用習知技術轉換介電質內的矽鰭片的部分可能並非可行。The techniques of the present invention include systems and components for semiconductor processing of fine pitch features. In conventional FinFET devices, silicon fins are typically formed on a silicon-on-insulator ("SOI") substrate. The silicon fins operate as gate electrodes to allow multiple gates to operate on a single transistor. Such fins can be developed on SOI wafers. However, SOI wafers are not widely adopted by the industry, and these wafers can be many times more expensive than standard silicon wafers. Silicon structures can include etching fins in the silicon wafer, followed by blanket deposition and recessed dielectric. However, the covered silicon, if not converted to silicon oxide, may cause current leakage during the off state. Converting portions of the silicon fins within the dielectric using conventional techniques may not be feasible due to the additional switching of the fin electrodes extending over the structure.

本技術係藉由利用在特定裝備中執行選擇性蝕刻處理而克服這些問題,並且可以使用該等處理,以利用比習知RIE更高的選擇性來蝕刻,這可允許先前可能無法的附加圖案化操作,並且可以為關鍵特徵尺寸(例如,薄的鰭片輪廓)提供額外的保護。藉由在特定裝備中執行選擇性沉積操作,可以在鰭片的底下部分的轉換期間保護鰭片電極。這些處理可以讓特定遮罩能夠用於保護鰭片延伸,同時執行鰭片的底下部分的轉換操作。The present technique overcomes these problems by taking advantage of selective etch processes performed in specific equipment, and can use these processes to etch with higher selectivity than conventional RIE, which allows for additional patterns that may not have been possible before. , and can provide additional protection for critical feature dimensions such as thin fin profiles. By performing selective deposition operations in specific equipment, fin electrodes can be protected during switching of the bottom portion of the fin. These treatments can enable specific masks to be used to protect the fin extensions while performing conversion operations on the bottom portion of the fins.

儘管其餘的揭示將常規地識別利用所揭示的技術的特定的蝕刻及沉積處理,但應理解,系統及方法同樣適用於所描述的腔室中可能發生的各種其他的蝕刻、沉積、及清潔處理。因此,該技術不應視為受限於僅能用於所述的蝕刻及沉積處理。本揭示將討論可以與本技術一起使用的一個可能的系統及腔室,以在根據本技術的示例性處理序列的所描述操作之前執行某些移除及沉積操作。While the remainder of the disclosure will routinely identify specific etch and deposition processes utilizing the disclosed techniques, it should be understood that the systems and methods are equally applicable to various other etch, deposition, and cleaning processes that may occur in the described chambers . Accordingly, the technique should not be considered limited to use with only the etch and deposition processes described. This disclosure will discuss one possible system and chamber that may be used with the present technology to perform certain removal and deposition operations prior to the described operations according to an exemplary processing sequence of the present technology.

1 圖示根據實施例的沉積、蝕刻、烘焙、及固化腔室的處理系統100的一個實施例的頂視平面圖。在圖式中,一對前開口統一莢(FOUP)102供應各種尺寸的基板,各種尺寸的基板係由機器臂104接收,並在放置到位於串聯區段109a-c中的基板處理腔室108a-f中之一者之前,放置到低壓托持區域106中。第二機器臂110可用於將基板晶圓從托持區域106運輸到基板處理腔室108a-f並返回。除了循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、濕式蝕刻、預清潔、脫氣、定向、及其他基板處理之外,可以配備每一基板處理腔室108a-f,以執行包括本文所述的乾式蝕刻處理及選擇性沉積的大量基板處理操作。 FIG . 1 illustrates a top plan view of one embodiment of a processing system 100 of deposition, etch, bake, and cure chambers according to an embodiment. In the drawings, a pair of front opening unified pods (FOUPs) 102 supply substrates of various sizes, which are received by robotic arms 104 and placed into substrate processing chambers 108a located in serial sections 109a-c. - before one of f, placed in the low pressure holding area 106. The second robotic arm 110 may be used to transport the substrate wafers from the holding area 106 to the substrate processing chambers 108a-f and back. In addition to cyclic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), wet etch, pre-clean, degassing, orientation, and other substrate treatments, Each substrate processing chamber 108a-f may be equipped to perform a number of substrate processing operations including dry etch processing and selective deposition as described herein.

基板處理腔室108a-f可包括用於沉積、退火、固化、及/或蝕刻基板晶圓上的介電膜的一或更多個系統部件。在一個配置中,可以使用兩對處理腔室(例如,108c-d與108e-f),以在基板上沉積介電材料或含金屬材料,而第三對處理腔室(例如108a-b)可以用於蝕刻所沉積的介電質。在另一配置中,所有三對腔室(例如,108a-f)可經配置以蝕刻基板上的介電膜。可以在與不同實施例中所示的製造系統分離的腔室中執行所述的任何一或更多個處理。The substrate processing chambers 108a-f may include one or more system components for depositing, annealing, curing, and/or etching dielectric films on substrate wafers. In one configuration, two pairs of process chambers (eg, 108c-d and 108e-f) may be used to deposit dielectric or metal-containing materials on the substrate, while a third pair of process chambers (eg, 108a-b) Can be used to etch deposited dielectrics. In another configuration, all three pairs of chambers (eg, 108a-f) can be configured to etch a dielectric film on a substrate. Any one or more of the processes described may be performed in separate chambers from the fabrication systems shown in the various embodiments.

在一些實施例中,腔室具體包括如下所述的至少一個蝕刻腔室以及如下所述的至少一個沉積腔室。藉由包括這些腔室並組合工廠介面的處理側,可以在受控環境中執行以下所述的所有蝕刻及沉積處理。舉例而言,在托持區域106的處理側可以維持真空環境,而使得在實施例中的所有腔室及轉移均維持在真空下。此舉亦可限制水蒸氣及其他空氣成分接觸處理中的基板。應理解,系統100可以考慮用於介電膜的沉積、蝕刻、退火、及固化腔室的附加配置。In some embodiments, the chambers specifically include at least one etching chamber as described below and at least one deposition chamber as described below. By including these chambers and combining the process side of the factory interface, all of the etch and deposition processes described below can be performed in a controlled environment. For example, a vacuum environment may be maintained on the processing side of the holding area 106 such that all chambers and transfers in embodiments are maintained under vacuum. This also limits the exposure of water vapor and other air components to the substrate being processed. It should be understood that the system 100 may contemplate additional configurations of deposition, etching, annealing, and curing chambers for dielectric films.

2A 圖示在處理腔室內具有分隔的電漿產生區域的示例性處理腔室系統200的橫截面圖。在膜蝕刻期間(例如,氮化鈦、氮化鉭、鎢、鈷、氧化鋁、氧化鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等),處理氣體可以通過氣體入口組件205流入第一電漿區域215。遠端電漿系統(RPS)201可以可選擇地包括在系統中,並且可以處理隨後行進通過氣體入口組件205的第一氣體。入口組件205可以包括二或更多個不同的氣體供應通道,其中若包括第二通道(未圖示),則第二通道可以繞過RPS 201。 Figure 2A illustrates a cross-sectional view of an exemplary processing chamber system 200 having separate plasma generation regions within the processing chamber. During film etch (for example, titanium nitride, tantalum nitride, tungsten, cobalt, aluminum oxide, tungsten oxide, silicon, polysilicon, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbide, etc.), the process gas can be passed through The gas inlet assembly 205 flows into the first plasma region 215 . A remote plasma system (RPS) 201 may optionally be included in the system and may process the first gas that then travels through the gas inlet assembly 205 . Inlet assembly 205 may include two or more different gas supply channels, where a second channel (not shown), if included, may bypass RPS 201 .

圖示冷卻板203、面板217、離子消除器223、噴淋頭225、及具有基板255設置其上的基板支撐件265,且每一者可以根據實施例而被包括。台座265可以具有熱交換通道,熱交換流體流經熱交換通道以控制基板的溫度,可在處理操作期間操作基板的溫度,以加熱及/或冷卻基板或晶圓。亦可以使用嵌入式電阻加熱器元件而電阻加熱可以包含鋁、陶瓷、或其組合的台座265的晶圓支撐盤,以實現相對高的溫度,例如從高達或約100℃至高於或約1100℃。Cooling plate 203 , face plate 217 , ion eliminator 223 , showerhead 225 , and substrate support 265 with substrate 255 disposed thereon are shown, and each may be included according to embodiments. The pedestal 265 may have heat exchange channels through which a heat exchange fluid flows to control the temperature of the substrate, which may be manipulated during processing operations to heat and/or cool the substrate or wafer. Embedded resistive heater elements may also be used to resistively heat the wafer support plate, which may comprise aluminum, ceramic, or a combination of pedestals 265, to achieve relatively high temperatures, for example, from up to or about 100°C to greater than or about 1100°C .

面板217可以是金字塔形、圓錐形、或具有窄的頂部部分擴展到寬的底部部分的其他類似結構。如圖所示,附加地,面板217可以是平坦的,並包括用於分配處理氣體的複數個貫通通道。取決於RPS 201的使用,電漿產生氣體及/或電漿激發物質可以穿過面板217中如第2B圖所示的複數個孔洞,以更均勻地遞送到第一電漿區域215中。Panel 217 may be pyramidal, conical, or other similar structure having a narrow top portion expanding to a wide bottom portion. As shown, face plate 217 may additionally be flat and include a plurality of through passages for distributing process gases. Depending on the use of the RPS 201 , the plasma generating gas and/or plasma stimulating species may pass through the plurality of holes in the face plate 217 as shown in FIG. 2B for more uniform delivery into the first plasma region 215 .

示例性配置可以包括氣體入口組件205通入由面板217從第一電漿區域215分隔的氣體供應區域258,而使得氣體/物質流經面板217中的孔洞而進入第一電漿區域215。可以選擇結構及操作特徵,以防止來自第一電漿區域215的電漿大量回流到供應區域258、氣體入口組件205、及流體供應系統210中。圖示面板217或者腔室的導電頂部部分以及噴淋頭225,其中絕緣環220係位於特徵之間,以允許相對於噴淋頭225及/或離子消除器223而將AC電位施加到面板217。絕緣環220可以定位於面板217與噴淋頭225及/或離子消除器223之間,以讓電容耦合電漿(CCP)能夠在第一電漿區域中形成。附加地,擋板(未圖示)可以位於第一電漿區域215中,或者另外與氣體入口組件205耦接,以影響流體通過氣體入口組件205進入區域的流動。An exemplary configuration may include gas inlet assembly 205 opening into gas supply region 258 separated from first plasma region 215 by face plate 217 such that gas/substance flows through holes in face plate 217 into first plasma region 215 . Structural and operational features may be selected to prevent substantial backflow of plasma from first plasma region 215 into supply region 258 , gas inlet assembly 205 , and fluid supply system 210 . Panel 217 or conductive top portion of the chamber and showerhead 225 are shown with insulating ring 220 positioned between features to allow AC potential to be applied to panel 217 relative to showerhead 225 and/or ion eliminator 223 . An insulating ring 220 may be positioned between faceplate 217 and showerhead 225 and/or ion eliminator 223 to enable capacitively coupled plasma (CCP) formation in the first plasma region. Additionally, a baffle (not shown) may be located in the first plasma region 215 or otherwise coupled to the gas inlet assembly 205 to affect the flow of fluid through the gas inlet assembly 205 into the region.

離子消除器223可以包含定義貫穿結構的複數個孔隙的板狀或其他幾何形狀,複數個孔隙經配置以消除離開第一電漿區域215的離子帶電物質的遷移,同時允許不帶電荷的中性或自由基物質穿過離子消除器223進入消除器與噴淋頭之間的活性氣體遞送區域。在實施例中,離子消除器223可以包含具有各種孔隙配置的多孔板。這些不帶電荷的物質可以包括利用較少的反應氣體載體運輸通過孔隙的高反應性物質。如上所述,離子物質通過孔洞的遷移可能減少,並在一些情況下完全消除。控制穿過離子消除器223的離子物質的量可以有利地提供增加對於與底下的晶圓基板接觸的氣體混合物的控制,這又可以增加對氣體混合物的沉積及/或蝕刻特性的控制。舉例而言,氣體混合物的離子濃度的調整可以顯著改變其蝕刻選擇性,例如,SiNx:SiOx蝕刻率、Si:SiOx蝕刻率等。在執行沉積的可替代實施例中,亦可以平移介電材料的共形流動式沉積的平衡。Ion eliminator 223 may comprise a plate or other geometric shape defining a plurality of apertures throughout the structure configured to eliminate migration of ionically charged species leaving first plasmonic region 215 while allowing uncharged neutral Or free radical species pass through the ion eliminator 223 into the reactive gas delivery region between the eliminator and the showerhead. In embodiments, ion eliminator 223 may comprise a perforated plate with various pore configurations. These uncharged species may include highly reactive species that utilize less reactive gas carriers to transport through the pores. As noted above, the migration of ionic species through the pores may be reduced, and in some cases eliminated entirely. Controlling the amount of ionic species passing through ion eliminator 223 may advantageously provide increased control over the gas mixture in contact with the underlying wafer substrate, which in turn may increase control over the deposition and/or etch characteristics of the gas mixture. For example, adjustment of the ion concentration of a gas mixture can significantly change its etch selectivity, eg, SiNx:SiOx etch rate, Si:SiOx etch rate, etc. In alternative embodiments where deposition is performed, the balance of conformal flow deposition of dielectric material may also be translated.

離子消除器223中的複數個孔隙可經配置以控制活性氣體(亦即,離子、自由基、及/或中性物質)通過離子消除器223的通路。舉例而言,可以控制孔洞的高寬比、或孔洞直徑對長度、及/或孔洞的幾何形狀,而使得穿過離子消除器223的活性氣體中的離子帶電物質的流動減少。離子消除器223中的孔洞可以包括面對電漿激發區域215的錐形部分以及面對噴淋頭225的圓柱形部分。圓柱形部分可以成形及定尺寸,以控制傳到噴淋頭225的離子物質的流動。作為控制離子物質通過消除器的流動的附加手段,亦可以將可調整的電偏壓施加到離子消除器223。The plurality of apertures in ion eliminator 223 may be configured to control the passage of reactive gases (ie, ions, free radicals, and/or neutral species) through ion eliminator 223 . For example, the aspect ratio of the holes, or the hole diameter versus length, and/or the hole geometry can be controlled such that the flow of ionically charged species in the reactive gas passing through the ion eliminator 223 is reduced. The hole in ion eliminator 223 may include a tapered portion facing plasma excitation region 215 and a cylindrical portion facing showerhead 225 . The cylindrical portion can be shaped and dimensioned to control the flow of ionic species to the showerhead 225 . As an additional means of controlling the flow of ionic species through the eliminator, an adjustable electrical bias can also be applied to the ion eliminator 223 .

離子消除器223可以用於減少或消除從電漿產生區域行進到基板的離子帶電物質的量。不帶電的中性及自由基物質仍然可以穿過離子消除器中的開口而與基板反應。應注意,在實施例中,可以不執行在環繞基板的反應區域中的離子帶電物質的完全消除。在某些情況下,離子物質意欲到達基板,以執行蝕刻及/或沉積處理。在這些情況下,離子消除器可以幫助將反應區域中的離子物質濃度控制在有助於處理的層級處。Ion eliminator 223 may be used to reduce or eliminate the amount of ionically charged species traveling from the plasma generation region to the substrate. Uncharged neutral and radical species can still pass through the openings in the ion eliminator to react with the substrate. It should be noted that in embodiments, complete elimination of ionically charged species in the reaction region surrounding the substrate may not be performed. In some cases, ionic species are intended to reach the substrate for etching and/or deposition processes. In these cases, ion eliminators can help control the concentration of ionic species in the reaction zone at levels that facilitate processing.

與離子消除器223組合的噴淋頭225可以允許存在於第一電漿區域215的電漿,以避免在基板處理區域233中直接激發氣體,同時仍允許激發物質從腔室電漿區域215行進到基板處理區域233。以此方式,腔室可經配置以防止電漿接觸蝕刻中的基板255。此舉可以有利地保護基板上圖案化的各種複雜結構及膜,若直接與所產生的電漿接觸,則各種複雜結構及膜可能損傷、移位、或以其他方式彎曲。此外,當允許電漿接觸基板或接近基板層級時,可能增加氧化物物質蝕刻的速率。因此,若材料的暴露區域為氧化物,則可以藉由遠端於基板維持電漿來進一步保護此材料。Showerhead 225 in combination with ion eliminator 223 may allow plasma present in first plasma region 215 to avoid direct excitation of gases in substrate processing region 233 while still allowing excited species to travel from chamber plasma region 215 to the substrate processing area 233 . In this way, the chamber may be configured to prevent the plasma from contacting the substrate 255 being etched. This advantageously protects the various complex structures and films patterned on the substrate, which may be damaged, displaced, or otherwise bent if in direct contact with the generated plasma. In addition, when the plasma is allowed to contact the substrate or approach the substrate level, the rate at which the oxide species etch can be increased. Thus, if the exposed regions of the material are oxides, the material can be further protected by maintaining the plasma remotely from the substrate.

處理系統可以進一步包括與處理腔室電耦接的功率供應器240,以提供電功率到面板217、離子消除器223、噴淋頭225、及/或台座265,以在第一電漿區域215或處理區域233中產生電漿。取決於所執行的處理,功率供應器可經配置以向腔室遞送可調整量的功率。這種配置可以允許可調諧電漿用於執行中的處理。與通常呈現為具有開啟或關閉功能的遠端電漿單元不同,可調諧電漿可經配置以向電漿區域215遞送特定量的功率。此舉又可以允許形成特定的電漿特性,而使得前驅物可以利用特定方式解離,以增強由這些前驅物產生的蝕刻輪廓。The processing system may further include a power supply 240 electrically coupled to the processing chamber to provide electrical power to the faceplate 217, the ion eliminator 223, the showerhead 225, and/or the pedestal 265 to generate power in the first plasma region 215 or A plasma is generated in the processing region 233 . Depending on the process being performed, the power supply can be configured to deliver an adjustable amount of power to the chamber. This configuration could allow tunable plasmons for in-flight processing. Unlike remote plasma units, which typically appear to have an on or off function, a tunable plasma can be configured to deliver a specific amount of power to the plasma region 215 . This in turn allows for the formation of specific plasmonic properties such that the precursors can be dissociated in specific ways to enhance the etch profile produced by these precursors.

可以在噴淋頭225上方的腔室電漿區域215或噴淋頭225下方的基板處理區域233中激發電漿。在實施例中,形成於基板處理區域233中的電漿可以是利用作為電極的台座形成的DC偏壓電漿。電漿可以存在於腔室電漿區域215中,以從例如含氟前驅物或其他前驅物的流入產生自由基前驅物。典型地,在射頻(RF)範圍中的AC電壓可以施加於處理腔室的導電頂部部分(例如,面板217)與噴淋頭225及/或離子消除器223之間,以在沉積期間激發腔室電漿區域215中的電漿。RF功率供應器可以產生13.56MHz的高RF頻率,但亦可以單獨產生其他頻率或與13.56MHz頻率組合產生其他頻率。Plasma may be excited in chamber plasma region 215 above showerhead 225 or in substrate processing region 233 below showerhead 225 . In an embodiment, the plasma formed in the substrate processing region 233 may be a DC bias plasma formed using a pedestal as an electrode. A plasma may be present in the chamber plasma region 215 to generate free radical precursors from, for example, the influx of fluorine-containing precursors or other precursors. Typically, an AC voltage in the radio frequency (RF) range may be applied between a conductive top portion of the processing chamber (e.g., face plate 217) and showerhead 225 and/or ion eliminator 223 to excite the chamber during deposition. Plasma in plasma region 215 of the chamber. The RF power supply can generate a high RF frequency of 13.56MHz, but can also generate other frequencies alone or in combination with the 13.56MHz frequency.

2B 圖示影響通過面板217的處理氣體分佈的特徵的詳細視圖253。如第2A圖及第2B圖所示,面板217、冷卻板203、及氣體入口組件205相交,以定義氣體供應區域258,其中處理氣體可以從氣體入口205遞送進入氣體供應區域258。氣體可以填充氣體供應區域258,並通過面板217中的孔隙259流到第一電漿區域215。孔隙259可經配置以基本上單向的方式引導流動,而使得處理氣體可以流入處理區域233中,但是在穿過面板217之後可以被部分或完全防止回流到氣體供應區域258中。 FIG . 2B illustrates a detailed view 253 of features that affect process gas distribution through panel 217 . As shown in FIGS. 2A and 2B , face plate 217 , cooling plate 203 , and gas inlet assembly 205 intersect to define gas supply area 258 into which process gases may be delivered from gas inlet 205 . Gas may fill gas supply region 258 and flow through aperture 259 in face plate 217 to first plasma region 215 . Aperture 259 may be configured to direct flow in a substantially unidirectional manner such that process gas may flow into process region 233 but may be partially or completely prevented from backflow into gas supply region 258 after passing through face plate 217 .

氣體分配組件(例如,用於處理腔室區段200的噴淋頭225)可以指稱為雙通道噴淋頭(DCSH),並附加地在第3圖所述的實施例中詳細說明。雙通道噴淋頭可以提供蝕刻處理,以允許在處理區域233之外分離蝕刻劑,以在遞送到處理區域之前提供與腔室部件及彼此間的受限的相互作用。The gas distribution assembly (eg, showerhead 225 for processing chamber section 200 ) may be referred to as a dual channel showerhead (DCSH), and is additionally detailed in the embodiment depicted in FIG. 3 . A dual channel showerhead may provide etch processing to allow separation of etchant outside of the processing area 233 to provide limited interaction with chamber components and each other prior to delivery to the processing area.

噴淋頭225可以包含上板214及下板216。這些板可以彼此耦接,以定義這些板之間的容積218。板的耦接可以提供通過上及下板的第一流體通道219以及通過下板216的第二流體通道221。所形成的通道可經配置以提供從容積218單獨經由第二流體通道221通過下板216的流體出入口,而第一流體通道219可以流體隔離於板與第二流體通道221之間的容積218。容積218可以通過氣體分配組件225的一側流體出入。The shower head 225 can include an upper plate 214 and a lower plate 216 . The plates may be coupled to each other to define a volume 218 between the plates. The coupling of the plates may provide a first fluid channel 219 through the upper and lower plates and a second fluid channel 221 through the lower plate 216 . The channels formed may be configured to provide fluid access from the volume 218 through the lower plate 216 via the second fluid channel 221 alone, while the first fluid channel 219 may be fluidly isolated from the volume 218 between the plate and the second fluid channel 221 . Volume 218 is fluidly accessible through one side of gas distribution assembly 225 .

3 係為根據實施例的與處理腔室一起使用的噴淋頭325的頂視圖。噴淋頭325可以對應於第2A圖所示的噴淋頭225。通孔365(圖示第一流體通道219的視圖)可以具有複數種形狀及配置,以控制及影響前驅物通過噴淋頭225的流動。小孔洞375(圖示第二流體通道221的視圖)可以基本均勻地分佈在噴淋頭的表面上(即使在通孔365中),並且可以有助於前驅物在離開噴淋頭時提供比其他配置更均勻的混合。 FIG . 3 is a top view of a showerhead 325 for use with a processing chamber in accordance with an embodiment. Showerhead 325 may correspond to showerhead 225 shown in FIG. 2A. Through holes 365 (showing a view of first fluid channel 219 ) can have a variety of shapes and configurations to control and affect the flow of precursors through showerhead 225 . The small holes 375 (showing a view of the second fluid channel 221) can be distributed substantially evenly over the surface of the showerhead (even in the through-holes 365) and can help to provide a more efficient flow rate for the precursors as they exit the showerhead. Other configurations are more evenly mixed.

轉到 4 ,圖示根據本技術的一或更多個實施例的原子層沉積系統400或反應器的示意性橫截面圖。系統400可以包括裝載閘腔室10與處理腔室20。處理腔室20通常可以是可密封的外殼,而可以在真空或至少低壓下操作。處理腔室20可以藉由隔離閥15與裝載閘腔室10隔離。隔離閥15可以將處理腔室20與裝載閘腔室10密封於關閉位置,並可允許在打開位置時將基板60從裝載閘腔室10通過閥轉移至處理腔室20,反之亦然。Turning to FIG . 4 , a schematic cross-sectional view of an atomic layer deposition system 400 or reactor in accordance with one or more embodiments of the present technology is illustrated. System 400 may include a load lock chamber 10 and a processing chamber 20 . The processing chamber 20 may generally be a sealable enclosure and may operate under vacuum or at least low pressure. The processing chamber 20 can be isolated from the load lock chamber 10 by an isolation valve 15 . Isolation valve 15 may seal process chamber 20 from load lock chamber 10 in a closed position and may allow substrate 60 to be transferred through the valve from load lock chamber 10 to process chamber 20 and vice versa when in an open position.

系統400可包括氣體分配板30,氣體分配板30能夠跨越基板60分配一或更多種氣體。氣體分配板30可以是該領域具有通常知識者已知的任何合適的分配板,且所述之特定氣體分配板不應視為限制本技術之範疇。氣體分配板30之輸出面可以面向基板60的第一表面61。System 400 may include gas distribution plate 30 capable of distributing one or more gases across substrate 60 . The gas distribution plate 30 may be any suitable distribution plate known to those of ordinary skill in the art, and the specific gas distribution plate described should not be considered limiting of the scope of the present technology. The output face of the gas distribution plate 30 may face the first surface 61 of the substrate 60 .

氣體分配板30可以包括複數個氣體埠與複數個真空埠,複數個氣體埠經配置以傳送一或更多個氣體流到基板60,而複數個真空埠係設置於每一氣體埠之間,並經配置以傳送氣體流到處理腔室20之外。如第4圖所示,氣體分配板30可以包括第一前驅物注射器420、第二前驅物注射器430、及吹掃氣體注射器440。注射器420、430、440可藉由系統電腦(未圖示)(例如,主機)控制,或藉由腔室特定控制器(例如,可程式化邏輯控制器)控制。前驅物注射器420可經配置以將化合物A的反應前驅物之連續或脈衝流注射通過複數個氣體埠425進入處理腔室20。前驅物注射器430可經配置以將化合物B的反應前驅物之連續或脈衝流注射通過複數個氣體埠435進入處理腔室20。吹掃氣體注射器440可經配置以將無反應性或吹掃氣體之連續或脈衝流注射通過複數個氣體埠445進入處理腔室20。吹掃氣體可經配置以從處理腔室20移除反應材料及反應副產物。吹掃氣體典型係為惰性氣體,例如,氮氣、氬氣、及氦氣。氣體埠445可設置於氣體埠425及氣體埠435之間,以從化合物B之前驅物分離化合物A之前驅物,藉此避免前驅物之間的交叉汙染。The gas distribution plate 30 may include a plurality of gas ports configured to deliver one or more gas streams to the substrate 60 and a plurality of vacuum ports disposed between each gas port, And configured to convey gas flow out of the processing chamber 20 . As shown in FIG. 4 , the gas distribution plate 30 may include a first precursor injector 420 , a second precursor injector 430 , and a purge gas injector 440 . The injectors 420, 430, 440 may be controlled by a system computer (not shown) (eg, a host computer), or by a chamber-specific controller (eg, a programmable logic controller). Precursor injector 420 may be configured to inject a continuous or pulsed flow of a reactive precursor of Compound A through gas ports 425 into processing chamber 20 . Precursor injector 430 may be configured to inject a continuous or pulsed flow of a reactive precursor of Compound B through gas ports 435 into processing chamber 20 . The purge gas injector 440 may be configured to inject a continuous or pulsed flow of non-reactive or purge gas through a plurality of gas ports 445 into the processing chamber 20 . The purge gas may be configured to remove reactive materials and reaction by-products from the processing chamber 20 . Purge gases are typically inert gases such as nitrogen, argon, and helium. The gas port 445 can be disposed between the gas port 425 and the gas port 435 to separate the compound A precursor from the compound B precursor, thereby avoiding cross-contamination between the precursors.

在另一態樣中,在將前驅物注射進入處理腔室20之前,遠端電漿源(未圖示)可連接至前驅物注射器420及前驅物注射器430。可以藉由將電場施加到遠端電漿源內的化合物來產生反應物質之電漿。可以使用能夠活化所意欲化合物的任何功率源。舉例而言,使用DC、射頻、及微波型放電技術的功率源可以使用。若使用RF功率源,則可以電容性或電感性耦接。亦可以藉由熱基礎技術、氣體解離技術、高強度光源(例如,紫外光源)、或暴露於x射線源來產生活化。In another aspect, a remote plasma source (not shown) may be connected to precursor injector 420 and precursor injector 430 before injecting the precursor into processing chamber 20 . Plasmas of reacting species can be generated by applying an electric field to compounds within a remote plasma source. Any power source capable of activating the desired compound can be used. For example, power sources using DC, radio frequency, and microwave type discharge techniques may be used. If an RF power source is used, it can be coupled capacitively or inductively. Activation can also be produced by heat based techniques, gas dissociation techniques, high intensity light sources (eg, ultraviolet light sources), or exposure to x-ray sources.

系統400可以進一步包括連接至處理腔室20的泵送系統450。泵送系統450大致上可經配置以通過一或更多個真空埠455將氣體流抽空到處理腔室20之外。真空埠455可設置於每一氣體埠之間,以在氣體流與基板表面反應之後將氣體流抽空到處理腔室20之外,並進一步限制前驅物之間的交叉汙染。The system 400 may further include a pumping system 450 connected to the processing chamber 20 . Pumping system 450 may generally be configured to evacuate a gas flow out of processing chamber 20 through one or more vacuum ports 455 . A vacuum port 455 may be provided between each gas port to evacuate the gas flow out of the processing chamber 20 after the gas flow reacts with the substrate surface and further limit cross-contamination between precursors.

系統400可包括設置於處理腔室20上並在每一埠之間的複數個分區460。每一分區的下部可以延伸靠近基板60的第一表面61(例如,距離第一表面61約0.5mm或更多)。以此方式,分區460的下部可以從基板表面分離一距離,該距離足以允許氣體流在氣體流與基板表面反應之後,流動環繞下部而朝向真空埠455。箭頭498指示氣體流的方向。由於分區460可操作而作為對於氣體流的物理阻隔,所以分區460亦可限制前驅物之間的交叉汙染。所示之配置僅為說明性,且不應視為限制本技術之範疇。該領域具有通常知識者將理解,所示之氣體分配系統僅為一種可能的分配系統,並且可以採用其他類型的噴淋頭。System 400 may include a plurality of partitions 460 disposed on processing chamber 20 between each port. The lower portion of each partition may extend close to the first surface 61 of the substrate 60 (eg, about 0.5 mm or more from the first surface 61 ). In this way, the lower portion of the partition 460 may be separated from the substrate surface by a distance sufficient to allow the gas flow to flow around the lower portion toward the vacuum port 455 after the gas flow reacts with the substrate surface. Arrow 498 indicates the direction of gas flow. Because partitions 460 operate as physical barriers to gas flow, partitions 460 can also limit cross-contamination between precursors. The configuration shown is illustrative only and should not be considered as limiting the scope of the technology. Those of ordinary skill in the art will appreciate that the gas distribution system shown is only one possible distribution system and that other types of showerheads may be used.

在操作中,可以將基板60(例如,藉由機器人)遞送到裝載閘腔室10,並可放置於梭子65上。在隔離閥15打開之後,梭子65可以沿著軌道70移動。一旦梭子65進入處理腔室20,隔離閥15可以關閉,以將處理腔室20密封。然後,梭子65可以移動通過處理腔室20,以進行處理。在一個實施例中,梭子65可以在線性路徑中移動通過腔室。In operation, substrate 60 may be delivered (eg, by a robot) to load lock chamber 10 and may be placed on shuttle 65 . After the isolation valve 15 is opened, the shuttle 65 can move along the track 70 . Once the shuttle 65 enters the processing chamber 20 , the isolation valve 15 may be closed to seal the processing chamber 20 . The shuttle 65 may then move through the processing chamber 20 for processing. In one embodiment, the shuttle 65 can move through the chamber in a linear path.

隨著基板60移動通過處理腔室20,基板60的第一表面61可以重複暴露到來自氣體埠425的化合物A的前驅物及來自氣體埠435的化合物B的前驅物,其間具有來自氣體埠445的吹掃氣體。吹掃氣體的注入可經設計以在將基板表面61暴露至下一個前驅物之前,移除來自先前前驅物的未反應材料。在對各種氣體流的每一暴露之後,氣體流可以藉由泵送系統450通過真空埠455抽空。由於在每一氣體埠的兩側可以設置真空埠,所以氣體流可以通過在兩側的真空埠455抽空。因此,氣體流可以從個別氣體埠垂直向下流動朝向基板60的第一表面61,跨越第一表面410且環繞分區460之下部,而最後向上朝向真空埠455。以此方式,每一氣體可以均勻地分佈跨越基板表面61。亦可在暴露至各種氣體流時旋轉基板60。基板的旋轉可以對於防止在所形成的層中形成條帶是有用的。基板的旋轉可以是連續或是分開的步驟。As the substrate 60 moves through the processing chamber 20, the first surface 61 of the substrate 60 can be repeatedly exposed to the precursor of compound A from the gas port 425 and the precursor of the compound B from the gas port 435, with the precursor of the compound B from the gas port 445 in between. purge gas. The injection of the purge gas may be designed to remove unreacted material from a previous precursor before exposing the substrate surface 61 to the next precursor. After each exposure to the various gas streams, the gas streams may be evacuated by pumping system 450 through vacuum port 455 . Since vacuum ports can be provided on both sides of each gas port, the gas flow can be evacuated through the vacuum ports 455 on both sides. Thus, the gas flow may flow vertically downward from the individual gas ports toward the first surface 61 of the substrate 60 , across the first surface 410 and around the lower portion of the partition 460 , and finally upward toward the vacuum ports 455 . In this way, each gas can be evenly distributed across the substrate surface 61 . Substrate 60 may also be rotated while being exposed to various gas flows. Rotation of the substrate may be useful to prevent banding from forming in the formed layer. The rotation of the substrate can be a continuous or separate step.

可以藉由例如從氣體埠出來的每一氣體的流動速率及基板60的移動速率來決定基板表面61暴露至每一氣體的程度。在一個實施例中,每一氣體的流動速率可經配置,而不會從基板表面61移除所吸收的前驅物。每一分區之間的寬度、設置於處理腔室20上的氣體埠之數量、及基板可能來回傳遞的次數亦可決定基板表面61暴露至各種氣體的程度。因此,沉積膜的數量與品質可藉由變化上述因子來最佳化。The exposure of the substrate surface 61 to each gas can be determined by, for example, the flow rate of each gas out of the gas ports and the movement rate of the substrate 60 . In one embodiment, the flow rate of each gas can be configured so as not to remove absorbed precursors from the substrate surface 61 . The width between each zone, the number of gas ports provided on the processing chamber 20, and the number of times the substrate may be passed back and forth may also determine the degree of exposure of the substrate surface 61 to the various gases. Therefore, the quantity and quality of the deposited film can be optimized by varying the above factors.

在另一實施例中,系統400可以包括前驅物注入器420與前驅物注入器430,而沒有吹掃氣體注入器440。因此,隨著基板60移動通過處理腔室20,基板表面61可以交替地暴露於化合物A的前驅物與化合物B的前驅物,而不會暴露於其間的吹掃氣體。In another embodiment, the system 400 may include the precursor injector 420 and the precursor injector 430 without the purge gas injector 440 . Thus, as the substrate 60 moves through the processing chamber 20, the substrate surface 61 may be alternately exposed to the precursor of compound A and the precursor of compound B without being exposed to the purge gas in between.

第4圖所示的實施例具有在基板上方的氣體分配板30。儘管已經針對此直立定向描述及圖示實施例,但應理解,相反的定向亦是可能的。在那種情況下,基板60的第一表面61可以面朝下,而朝向基板流動的氣體可以引導朝上。在一或更多個實施例中,至少一個輻射熱源90可以定位成加熱基板的第二側。 The embodiment shown in Figure 4 has a gas distribution plate 30 above the substrate. Although the embodiment has been described and illustrated with respect to this upright orientation, it should be understood that the reverse orientation is also possible. In that case, the first surface 61 of the substrate 60 may face downwards and the gas flowing towards the substrate may be directed upwards. In one or more embodiments, at least one radiant heat source 90 may be positioned to heat the second side of the substrate.

在一些實施例中,梭子65可以是用於承載基板60的基座。通常,基座可以是有助於跨越基板形成均勻溫度的載體。基座可以相對於第4圖的佈置在裝載閘腔室10與處理腔室20之間在左到右及左到右的兩個方向上移動。基座可以具有用於承載基板60的頂表面67。基座可以是經加熱的基座,而使得基板60可以加熱以用於處理。作為實例,可以藉由設置在基座下方的輻射熱源90、加熱板、電阻線圈、或其他加熱裝置來加熱基座。儘管圖示為橫向轉換,但系統400的實施例亦可用於旋轉式系統,其中輪狀物可以順時針或逆時針旋轉,以連續加工位於所示氣體分配系統下方的一或更多個基板。應類似地理解,附加修改係包括在本技術中。 In some embodiments, the shuttle 65 may be a base for carrying the substrate 60 . Typically, the susceptor can be a carrier that helps create a uniform temperature across the substrate. The susceptor is movable in both left-to-right and left-to-right directions between the load lock chamber 10 and the process chamber 20 relative to the arrangement of FIG. 4 . The base may have a top surface 67 for carrying the substrate 60 . The susceptor may be a heated susceptor such that the substrate 60 may be heated for processing. As an example, the susceptor may be heated by a radiant heat source 90 disposed below the susceptor, a heating plate, a resistive coil, or other heating means. Although illustrated as transversal, embodiments of the system 400 can also be used in rotary systems, where the wheels can be rotated clockwise or counterclockwise to continuously process one or more substrates located below the gas distribution system as shown. It should be similarly understood that additional modifications are included in the technology.

第5圖圖示形成半導體結構的方法500,其中許多操作可以執行於例如前述腔室200及400中。方法500可以包括在開始該方法之前的一或更多個操作,而包括前端處理、沉積、蝕刻、研磨、清潔、或可以在所述操作之前執行的任何其他操作。該方法可以包括圖式中所示的多個可選擇操作,其可以或可以不特別與根據本技術的方法相關聯。舉例而言,為了提供更廣泛的結構形成範圍而描述許多操作,但是對於該技術而言並非關鍵,或者可以藉由替代方法來執行,這將在下面進一步討論。方法500描述 6A 圖至第 6E 中示意性圖示的操作,將結合方法500的操作而描述其說明。應理解,第6圖僅圖示局部示意圖,而基板可以包含任何數量的具有如圖式中所示的態樣的電晶體區段。 FIG. 5 illustrates a method 500 of forming a semiconductor structure, many of which may be performed in chambers 200 and 400 described above, for example. Method 500 may include one or more operations prior to initiating the method, including front-end processing, deposition, etching, grinding, cleaning, or any other operation that may be performed prior to the described operations. The method may include a number of optional operations shown in the figures, which may or may not be specifically associated with methods in accordance with the present technology. For example, many operations are described to provide a broader latitude for structure formation, but are not critical to the technique, or can be performed by alternative methods, as discussed further below. Method 500 describes the operations schematically illustrated in FIGS. 6A- 6E , an illustration of which will be described in conjunction with the operations of method 500 . It should be understood that FIG. 6 shows only a partial schematic view, and that the substrate may contain any number of transistor segments in the manner shown in the figure.

方法500可以涉及在具有多個暴露區域的基板上執行的操作,例如在包括如前述進一步發展以產生矽鰭片結構的區域的基板上。如第6A圖所示,圖示包括介電材料605與鰭片元件610的經處理的基板600的一部分。例如藉由在矽基板上執行凹陷操作以形成一或更多個鰭片元件610,可以預先形成鰭片。舉例而言,可以在腔室200中執行凹陷。可以執行介電材料605的後續沉積,例如在腔室400中或在可以執行毯覆沉積的另一沉積腔室中。介電材料605可以形成或沉積在鰭片元件610周圍,以覆蓋鰭片元件610a的第一部分。亦可以發生凹陷操作,以產生在介電材料605上方延伸的鰭片元件610b的第二部分。Method 500 may involve operations performed on a substrate having a plurality of exposed regions, for example on a substrate including regions further developed as previously described to produce silicon fin structures. As shown in FIG. 6A , a portion of a processed substrate 600 including a dielectric material 605 and a fin element 610 is shown. Fins may be pre-formed, for example, by performing a recess operation on a silicon substrate to form one or more fin elements 610 . For example, recessing may be performed in the chamber 200 . Subsequent deposition of dielectric material 605 may be performed, eg, in chamber 400 or in another deposition chamber where blanket deposition may be performed. Dielectric material 605 may be formed or deposited around fin element 610 to cover the first portion of fin element 610a. A recessing operation may also occur to create a second portion of the fin element 610b that extends over the dielectric material 605 .

鰭片元件的特徵可以是介電材料605上方的高度以及鰭片的厚度。舉例而言,鰭片元件可以延伸到介電材料605上方大於或約5nm,並且例如在DRAM應用中可以延伸到介電材料605上方達到或約10nm、達到或約25nm、達到或約50nm、達到或約75nm、達到或約100nm、達到或約125nm、達到或約150nm、達到或約175nm、達到或約200nm、達到或約225nm、達到或約250nm、或更高。高度亦可以是任何這些範圍內的任何範圍。鰭片元件跨越鰭片的寬度亦可以小於或約50nm,而在實施例中可以小於或約40nm、小於或約30nm、小於或約25nm、小於或約20nm、小於或約15nm、小於或約10nm、小於或約5nm、或更小。Features of the fin element may be the height above the dielectric material 605 and the thickness of the fin. By way of example, the fin elements may extend greater than or about 5 nm above the dielectric material 605, and may extend, for example, at or about 10 nm, at or about 25 nm, at or about 50 nm, at or above the dielectric material 605 in DRAM applications. At or about 75 nm, at or about 100 nm, at or about 125 nm, at or about 150 nm, at or about 175 nm, at or about 200 nm, at or about 225 nm, at or about 250 nm, or higher. The height can also be anywhere within any of these ranges. The width of the fin elements across the fin may also be less than or about 50 nm, and in embodiments may be less than or about 40 nm, less than or about 30 nm, less than or about 25 nm, less than or about 20 nm, less than or about 15 nm, less than or about 10 nm , less than or about 5 nm, or less.

方法500最初可以包括在操作505處在鰭片元件610周圍形成第一介電材料615。如第6A圖所示,可以在選擇性沉積中形成第一介電材料615,其中第一介電材料615可以相對於暴露的介電材料605(可以是第二介電材料)而較佳地在鰭片元件610上形成。可以在類似於上述腔室400的腔室中執行沉積。如下面進一步解釋的,對於可能無法形成完全覆蓋鰭片元件610的第一介電材料615的層的習知技術,沉積可能並不可行。舉例而言,第一介電材料615的毯覆塗層之後的蝕刻操作可能至少利用各向異性蝕刻來去除鰭片元件610的頂部的覆蓋,這可能不利地使鰭片元件610暴露於如下所述的附加操作。因此,藉由在鰭片元件610上相對於介電材料605選擇性地沉積第一介電材料615,本技術可以提供執行後續操作的能力。Method 500 may initially include forming a first dielectric material 615 around fin element 610 at operation 505 . As shown in FIG. 6A, a first dielectric material 615 may be formed in a selective deposition, wherein the first dielectric material 615 may be preferably formed with respect to the exposed dielectric material 605 (which may be a second dielectric material). formed on the fin element 610 . Deposition may be performed in a chamber similar to chamber 400 described above. As explained further below, deposition may not be feasible with conventional techniques that may not form a layer of first dielectric material 615 that completely covers fin element 610 . For example, the etch operation following the blanket coating of the first dielectric material 615 may utilize at least an anisotropic etch to remove the covering of the top of the fin element 610, which may disadvantageously expose the fin element 610 to additional operations described above. Thus, by selectively depositing the first dielectric material 615 on the fin element 610 relative to the dielectric material 605, the present technique can provide the ability to perform subsequent operations.

在可選擇的操作510中,可以如第6B圖所示而將附加量的介電材料605沉積在結構上。附加量的介電材料605可以延伸到鰭片元件610的高度,或者多達覆蓋鰭片元件610的第一介電材料615的高度。沉積可以是附加的毯覆沉積,隨後可以是化學機械研磨或其他凹陷操作,以暴露第一介電材料615的頂部表面。In optional operation 510, an additional amount of dielectric material 605 may be deposited on the structure as shown in Figure 6B. The additional amount of dielectric material 605 may extend to the height of the fin element 610 , or as much as the height of the first dielectric material 615 covering the fin element 610 . The deposition may be an additional blanket deposition, followed by chemical mechanical polishing or other recessing operations to expose the top surface of the first dielectric material 615 .

可以在操作515處執行轉換處理,以將鰭片元件610的一部分轉換成氧化物。如第6C圖所示,操作可以將鰭片元件610a的第一部分轉換為氧化物或類似於介電材料605的介電材料。藉由將鰭片元件610a的第一部分轉換成氧化物,可以減少最終裝置中的截止狀態洩漏電流。因為第一介電材料615可以作為轉換處理的阻隔,所以轉換可以限於鰭片元件610a的第一部分。因此,鰭片元件610b的第二部分可以維持未轉換或基本上未轉換的形式。A conversion process may be performed at operation 515 to convert a portion of the fin element 610 to oxide. As shown in FIG. 6C , the operation may convert the first portion of fin element 610 a to an oxide or a dielectric material similar to dielectric material 605 . By converting the first portion of the fin element 610a to oxide, the off-state leakage current in the final device can be reduced. Because the first dielectric material 615 can act as a barrier to the switching process, switching can be limited to the first portion of the fin element 610a. Accordingly, the second portion of the fin element 610b may remain in an untransformed or substantially unconverted form.

在操作520處,可以圍繞第一介電材料615選擇性移除附加量的介電材料605。如第6D圖所示,可以將介電材料605凹陷至或低於鰭片元件610b的未轉換的第二部分,而可以暴露第一介電材料615的側壁。隨後,在操作525中,如第6E圖所示,可以選擇性移除第一介電材料615。可以相對於介電材料605以及鰭片元件610而選擇性移除第一介電材料615。在移除之後,結構可以保留成包括在介電材料605上方延伸的鰭片元件610,其中介電材料605在鰭片元件610下方橫向延伸。At operation 520 , an additional amount of dielectric material 605 may be selectively removed around first dielectric material 615 . As shown in FIG. 6D, the dielectric material 605 may be recessed to or below the unconverted second portion of the fin element 610b, while the sidewalls of the first dielectric material 615 may be exposed. Subsequently, in operation 525, as shown in FIG. 6E, the first dielectric material 615 may be selectively removed. The first dielectric material 615 may be selectively removed with respect to the dielectric material 605 and the fin elements 610 . After removal, the structure may remain to include fin elements 610 extending over dielectric material 605 , wherein dielectric material 605 extends laterally below fin elements 610 .

幾個沉積及蝕刻操作可以在單一環境中執行(例如,在腔室之間的群集工具共享)。舉例而言,附加的沉積腔室可以與一或更多個蝕刻腔室200及沉積腔室400一起(例如,可以用於填充介電材料605)。每次轉移可以在真空下進行,而腔室中之每一者可以駐留在相同集群工具上,以允許轉移發生在受控環境中。舉例而言,可以在轉移期間維持真空條件,並且可以在不破壞真空的情況下進行轉移。相對於可包括附加遮罩操作、光刻、及可能需要在許多工具之間轉移的其他操作的習知技術,方法500可以在單一工具上執行,其中真空條件在實施例中不受破壞。此外,方法500可以不利用任何RIE操作,這可減少聚合物堆積以及與RIE相關聯的必要的灰化及清潔操作。Several deposition and etch operations can be performed in a single environment (eg, sharing of tools among clusters of chambers). For example, additional deposition chambers may be used along with one or more etch chambers 200 and deposition chambers 400 (eg, may be used to fill dielectric material 605 ). Each transfer can be performed under vacuum, while each of the chambers can reside on the same cluster tool, allowing the transfer to occur in a controlled environment. For example, vacuum conditions can be maintained during the transfer, and the transfer can be performed without breaking the vacuum. In contrast to conventional techniques, which may include additional masking operations, photolithography, and other operations that may require transfer between many tools, method 500 may be performed on a single tool where vacuum conditions are not compromised in embodiments. Additionally, method 500 may not utilize any RIE operations, which may reduce polymer buildup and the necessary ashing and cleaning operations associated with RIE.

可以在處理中利用各種材料,而蝕刻及沉積可以對於多個部件具有選擇性。因此,本技術可以不限於單組材料。舉例而言,鰭片元件610可以是矽(例如,多晶矽),且亦可以是其他含矽材料(包括矽化鍺),並可以包括其他材料(包括砷、銦、鎵、磷、及可在最終結構中操作的其他貧金屬與非金屬)。介電材料605可以是或包括氧化矽、但是亦可以使用其他絕緣材料。舉例而言,可以使用其他含氧、含氮、或含碳材料。第一介電材料615亦可以包括絕緣材料,並且可以包括含矽材料、含氮材料、含氧材料、含碳材料、或這些材料的一些組合(例如,氮化矽、碳氧化矽、氧化鎢、氧化鋁、或其他材料)。Various materials can be utilized in processing, and etching and deposition can be selective to multiple components. Therefore, the present technology may not be limited to a single set of materials. For example, the fin element 610 can be silicon (eg, polysilicon), and can also be other silicon-containing materials (including germanium silicide), and can include other materials (including arsenic, indium, gallium, phosphorus, and finally other poor metals and metalloids operating in the structure). The dielectric material 605 may be or include silicon oxide, although other insulating materials may also be used. For example, other oxygen-, nitrogen-, or carbon-containing materials may be used. The first dielectric material 615 may also include an insulating material, and may include a silicon-containing material, a nitrogen-containing material, an oxygen-containing material, a carbon-containing material, or some combination of these materials (eg, silicon nitride, silicon oxycarbide, tungsten oxide , alumina, or other materials).

由於可以在第一介電材料615的選擇性沉積期間暴露介電材料605,所以介電材料605可以是與實施例中的第一介電材料不同的材料,但是在附加實施例中,這兩種材料可以類似。儘管是不同的材料,但第一介電材料615與介電材料605可以是從包括含碳材料、含氮材料、及含氧材料的材料群組中選擇的一或更多種材料,並且可以是上述任何材料。然而,第一介電材料615可以是與用於介電材料605的材料不同的材料。Dielectric material 605 may be a different material than the first dielectric material in an embodiment since dielectric material 605 may be exposed during selective deposition of first dielectric material 615, but in additional embodiments the two materials can be similar. Although different materials, the first dielectric material 615 and the dielectric material 605 may be one or more materials selected from the material group including carbon-containing materials, nitrogen-containing materials, and oxygen-containing materials, and may is any of the above materials. However, the first dielectric material 615 may be a different material than the material used for the dielectric material 605 .

可以在能夠沉積且能夠原子層沉積的腔室(包括上述的腔室400)中執行第一介電材料615的選擇性沉積。沉積可以預設為在相對於介電材料605的鰭片元件610上選擇性沉積絕緣材料。舉例而言,第一介電材料615(在一些實施例中可以是氮化矽)可以基本上形成於鰭片元件610上(可以是矽),同時最少地形成於介電材料605或者受限於介電材料605。可以藉由多種操作來執行選擇性沉積,可以包括形成自組裝單層以促進選擇性沉積,或者可以包括主動抑制在其他介電材料上形成介電質。Selective deposition of the first dielectric material 615 may be performed in a deposition capable and atomic layer deposition capable chamber, including the chamber 400 described above. Deposition may be preset to selectively deposit insulating material on fin elements 610 relative to dielectric material 605 . For example, first dielectric material 615 (which may be silicon nitride in some embodiments) may be formed substantially over fin element 610 (which may be silicon), with minimal formation over dielectric material 605 or limited in the dielectric material 605. Selective deposition can be performed by a variety of operations, which can include forming a self-assembled monolayer to facilitate selective deposition, or can include actively inhibiting the formation of dielectrics on other dielectric materials.

可以在結構的區域上形成自組裝單層,以調諧沉積。舉例而言,可以在結構上形成第一自組裝單層,然後將其暴露於光刻遮罩,以從鰭片元件610移除單層。單層可以維持在介電材料605上。單層可以具有可能排斥或無法與後來遞送的前驅物相互作用的封端部分。舉例而言,在實施例中,封端部分可以是疏水性,並且可以利用含氫部分(例如,甲基)封端,含氫部分可以不與附加前驅物相互作用。第二自組裝單層可以形成在鰭片元件610上,而可以是親水性或與用於產生第一介電材料615的一或更多個前驅物反應。因為材料可以與第一自組裝單層排斥,或者可以選擇性拉伸到鰭片元件,所以可以在鰭片元件610上選擇性形成第二自組裝單層。第二自組裝單層可以利用氫氧基或其他親水部分封端,或是利用特別與用於形成第一介電材料615的附加前驅物相互作用的部分封端。Self-assembled monolayers can be formed on regions of the structure to tune the deposition. For example, a first self-assembled monolayer may be formed on the structure and then exposed to a photolithographic mask to remove the monolayer from the fin element 610 . A single layer may be maintained on the dielectric material 605 . Monolayers may have capping moieties that may repel or fail to interact with later delivered precursors. For example, in embodiments, the capping moiety may be hydrophobic and may be capped with a hydrogen-containing moiety (eg, methyl), which may not interact with the additional precursor. A second self-assembled monolayer may be formed on the fin element 610 and may be hydrophilic or reactive with one or more precursors used to create the first dielectric material 615 . A second self-assembled monolayer may be selectively formed on the fin element 610 because the material may repel the first self-assembled monolayer, or may selectively stretch to the fin element. The second self-assembled monolayer may be terminated with hydroxyl or other hydrophilic moieties, or with moieties that specifically interact with the additional precursors used to form the first dielectric material 615 .

然後,可以利用二或更多個前驅物執行原子層沉積,以開發第一介電材料615。沉積的前驅物可以包括含金屬或含矽前驅物,並包括經配置以與封端第二自組裝單層(而非第一自組裝單層)的部分相互作用的前驅物。舉例而言,當使用親水性及疏水性封端單層時,原子層沉積前驅物中之一者可以包括水。以此方式,沉積可能不會形成於可以是疏水性的第一自組裝單層上。若第一介電材料包括金屬氧化物(例如,氧化鎢或氧化鋁),則用於原子層沉積的前驅物可以包括含鎢前驅物或含鋁材料以及水。在其他實施例中,可以使用含矽前驅物。然後,在與水的半反應期間,水可能無法與形成在介電材料605上的第一自組裝單層相互作用,而因此沉積可以不在第一自組裝單層上形成。以此方式,可以在鰭片元件610上選擇性形成第一介電材料615。Atomic layer deposition may then be performed using two or more precursors to develop the first dielectric material 615 . The deposited precursors may include metal-containing or silicon-containing precursors, and include precursors configured to interact with portions that terminate the second self-assembled monolayer but not the first self-assembled monolayer. For example, when using hydrophilic and hydrophobic capped monolayers, one of the ALD precursors may include water. In this way, deposits may not form on the first self-assembled monolayer, which may be hydrophobic. If the first dielectric material includes a metal oxide (eg, tungsten oxide or aluminum oxide), the precursor for atomic layer deposition may include a tungsten-containing precursor or an aluminum-containing material and water. In other embodiments, silicon-containing precursors may be used. Then, during the half-reaction with water, the water may not be able to interact with the first self-assembled monolayer formed on the dielectric material 605, and thus deposition may not form on the first self-assembled monolayer. In this way, the first dielectric material 615 may be selectively formed on the fin member 610 .

第一介電材料615已經形成為合適的高度之後,第一自組裝單層可以暴露於UV光,並從基板移除,或者可以進行一些其他移除。因此,第一自組裝單層可以在鰭片元件的選擇性蝕刻之後直接形成,或者在轉移到附加腔室之後但在附加處理操作之前形成。以此方式,可以排除習知形成中使用的多個操作,這可以顯著減少佇列時間(例如,幾個小時)。在其他實施例中,取決於所執行的操作,可以在選擇性沉積之後執行輕微的凹陷,以從介電材料605移除殘留材料。應理解,這僅為利用基於一組沉積材料的自組裝單層的實例。下文將進一步討論可作為替代前驅物的附加材料。After the first dielectric material 615 has been formed to a suitable height, the first self-assembled monolayer may be exposed to UV light and removed from the substrate, or some other removal may be performed. Thus, the first self-assembled monolayer may be formed directly after the selective etching of the fin elements, or after transfer to the additional chamber but before the additional processing operations. In this way, several operations used in knowledge formation can be eliminated, which can significantly reduce queue times (eg, several hours). In other embodiments, depending on the operations performed, a slight recess may be performed after the selective deposition to remove residual material from the dielectric material 605 . It should be understood that this is merely an example of utilizing a self-assembled monolayer based on a set of deposited materials. Additional materials that may serve as alternative precursors are discussed further below.

實施例亦可以利用抑制劑以在鰭片元件610選擇性形成第一介電材料615,同時不在介電材料605上形成第一介電材料615或是讓形成於介電材料605上的量有限。舉例而言,可以跨越介電材料的表面施加抑制劑,而抑制劑可以不施加,或者可以從鰭片元件610移除。抑制劑可以是任何數量的材料,材料的特徵可以是矽氧烷主鏈(例如,矽氧烷)或四氟乙烯主鏈(例如,PTFE),以及其他油性或表面活性劑材料。可以跨越基板的表面施加材料,以覆蓋介電材料605的暴露部分。Embodiments may also utilize an inhibitor to selectively form the first dielectric material 615 on the fin element 610 while not forming the first dielectric material 615 on the dielectric material 605 or to limit the amount formed on the dielectric material 605 . For example, the inhibitor may be applied across the surface of the dielectric material, while the inhibitor may not be applied, or may be removed from the fin element 610 . The inhibitor can be any number of materials, and the material can be characterized by a silicone backbone (eg, siloxane) or a tetrafluoroethylene backbone (eg, PTFE), as well as other oily or surfactant materials. Material may be applied across the surface of the substrate to cover exposed portions of the dielectric material 605 .

抑制劑材料可以防止在鰭片元件610上可以正常形成或沉積的材料的黏附或吸附。隨後形成第一介電材料615,並可以將移除劑施加到基板上,以移除抑制劑材料。移除劑可以是濕式蝕刻劑、反應物、或表面活性劑清潔劑,而可以移除讓底下的介電材料605暴露的殘留抑制劑材料。利用抑制劑可以允許在定義區域中形成第一介電材料,而不需要經由隨後的毯覆膜的圖案化及/或蝕刻定義。藉由移除先前及後續的圖案化操作,處理可以進一步減少習知處理的佇列時間。The suppressor material may prevent adhesion or adsorption of material that would normally form or deposit on the fin element 610 . A first dielectric material 615 is then formed, and a remover may be applied to the substrate to remove the suppressor material. The remover, which may be a wet etchant, a reactant, or a surfactant cleaner, may remove residual suppressor material that exposes the underlying dielectric material 605 . Utilizing an inhibitor may allow the formation of the first dielectric material in defined areas without requiring definition via subsequent patterning and/or etching of the blanket film. The process can further reduce the queue time of conventional processes by removing previous and subsequent patterning operations.

亦可以使用附加選擇性沉積技術(可以包括可替代的機構),以用於選擇性沉積介電材料(例如,含氮材料)。舉例而言,含氮材料可以作為用於沉積發生的材料上的自組裝單層中之一者(例如,單層的封端部分中之一者),而可以允許吸引用於形成先前描述的材料中之一或更多者的特定前驅物。其他技術可以利用溫度差異以增強相對於氧化矽的矽上的沉積。舉例而言,利用含矽前驅物與含氮前驅物的原子層沉積可以在高於或約500℃的溫度下執行,並且可以在高於或約750℃、高於或約900℃、高於或約1000℃、或達到、高於、或約1100℃的溫度下執行。Additional selective deposition techniques (which may include alternative mechanisms) for selectively depositing dielectric materials (eg, nitrogen-containing materials) may also be used. For example, the nitrogen-containing material may act as one of the self-assembled monolayers (eg, one of the capped portions of the monolayer) on the material for deposition to occur, and may allow attraction for the formation of the previously described A particular precursor of one or more of the materials. Other techniques can take advantage of temperature differences to enhance deposition on silicon relative to silicon oxide. For example, atomic layer deposition using silicon-containing precursors and nitrogen-containing precursors can be performed at temperatures above or about 500°C, and can be at or above 750°C, above or about 900°C, above or performed at a temperature of about 1000°C, or at, above, or about 1100°C.

隨著溫度在此範圍內增加,可以在矽上以比在氧化矽上更高的速率發生沉積。然後,可以執行氮的選擇性蝕刻,以從氧化矽表面移除第一介電材料。儘管亦可以在矽表面上減少第一介電材料,但因為厚度可以比氧化矽上的厚許多倍,所以可以執行氧化矽的完全移除,同時維持鰭片元件上的厚度大於或約1nm、大於或約2nm、大於或約3nm、大於或約4nm、大於或約5nm、大於或約6nm、大於或約7nm、大於或約8nm、大於或約9nm、大或約10nm、或更大。此效果可能使本技術實現習知技術所受限的方式。在正常保形或毯覆沉積期間,鰭元件的一些部分的厚度將等於氧化層上的厚度。因此,回蝕處理甚至可以利用定向蝕刻來暴露鰭元件的至少一部分。As the temperature is increased in this range, deposition can occur at a higher rate on silicon than on silicon oxide. A nitrogen selective etch can then be performed to remove the first dielectric material from the silicon oxide surface. Although it is also possible to reduce the first dielectric material on the silicon surface, since the thickness can be many times thicker than on the silicon oxide, a complete removal of the silicon oxide can be performed while maintaining a thickness greater than or about 1 nm on the fin element, Greater than or about 2 nm, greater than or about 3 nm, greater than or about 4 nm, greater than or about 5 nm, greater than or about 6 nm, greater than or about 7 nm, greater than or about 8 nm, greater than or about 9 nm, greater than or about 10 nm, or greater. This effect makes it possible for the present technique to be implemented in a manner limited by known techniques. During normal conformal or blanket deposition, the thickness of some parts of the fin element will be equal to the thickness on the oxide layer. Thus, the etch-back process may even utilize a directional etch to expose at least a portion of the fin elements.

相對於一或更多個非金屬、介電質、或絕緣區域,這些技術中之任一者可以選擇性沉積或形成鰭片元件上的介電或絕緣材料。選擇性可以是完整的,亦即,第一介電材料僅在鰭片元件610或中間層上形成,而第一介電材料615可以完全不在介電材料605上形成。在其他實施例中,選擇性可能不是完整的,而鰭片元件610上的沉積相對於介電材料605的比率可以大於約2:1。選擇性亦可以大於或約5:1、大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約35:1、大於或約40:1、大於或約45:1、大於或約50:1、大於或約75:1、大於或約100:1、大於或約200:1、或更多。如前所述,鰭片元件上沉積的厚度可以小於或約20nm、小於或約10nm、小於或約5nm、小於或約4nm、小於或約3nm、小於或約2nm、小於或約1nm、或更小。因此,低於20:1的選擇性可以是可接受的,以完全沉積第一介電材料615,同時在介電材料605上形成有限量的材料或基本上沒有形成材料。Either of these techniques can selectively deposit or form dielectric or insulating material on a fin element relative to one or more non-metallic, dielectric, or insulating regions. The selectivity may be complete, that is, the first dielectric material is formed only on the fin element 610 or the intermediate layer, while the first dielectric material 615 may not be formed on the dielectric material 605 at all. In other embodiments, the selectivity may not be complete and the ratio of deposition on fin element 610 to dielectric material 605 may be greater than about 2:1. Selectivity can also be greater than or about 5:1, greater than or about 10:1, greater than or about 15:1, greater than or about 20:1, greater than or about 25:1, greater than or about 30:1, greater than or about 35 :1, greater than or about 40:1, greater than or about 45:1, greater than or about 50:1, greater than or about 75:1, greater than or about 100:1, greater than or about 200:1, or more. As previously mentioned, the thickness deposited on the fin element can be less than or about 20 nm, less than or about 10 nm, less than or about 5 nm, less than or about 4 nm, less than or about 3 nm, less than or about 2 nm, less than or about 1 nm, or more small. Accordingly, a selectivity of less than 20:1 may be acceptable to fully deposit first dielectric material 615 while forming a limited amount of material or substantially no material on dielectric material 605 .

沉積操作可以在前述的任何溫度或壓力下執行,並可以在大於或約300℃的溫度下執行,且可以在大於或約400℃、大於或約450℃、大於或約500℃、大於或約600℃、大於或約700℃、大於或約800℃、大於或約900℃、大於或約1000℃、或更高的溫度下執行。舉例而言,在原子層沉積操作期間,可以使用大於或約500℃的溫度,以活化前驅物,以在材料層形成時彼此相互作用。The deposition operation may be performed at any of the aforementioned temperatures or pressures, and may be performed at a temperature of greater than or about 300°C, and may be performed at a temperature of greater than or about 400°C, greater than or about 450°C, greater than or about 500°C, greater than or about 600°C, greater than or about 700°C, greater than or about 800°C, greater than or about 900°C, greater than or about 1000°C, or higher. For example, during atomic layer deposition operations, temperatures greater than or about 500° C. may be used to activate the precursors to interact with each other as the material layer is formed.

蝕刻操作520與525可以是如先前所述的選擇性蝕刻操作。可以在類似於先前描述的腔室200的蝕刻腔室中使介電材料凹陷。一旦定位於半導體處理腔室的處理區域內,該方法可以包括形成處理腔室的遠端電漿區域中的含氟前驅物的電漿。遠端電漿區域可以與處理區域流體耦合,但是可以物理分隔,以將電漿限制在基板層級處,這可能損傷暴露的結構或材料。電漿的流出物可以流入處理區域,而可以接觸半導體基板並選擇性蝕刻材料。Etching operations 520 and 525 may be selective etch operations as previously described. The dielectric material may be recessed in an etch chamber similar to chamber 200 described previously. Once positioned within the processing region of the semiconductor processing chamber, the method may include forming a plasma of the fluorine-containing precursor in the remote plasma region of the processing chamber. The remote plasma region may be fluidly coupled to the processing region, but may be physically separated to confine the plasma at the substrate level, which may damage exposed structures or materials. The effluent of the plasma may flow into the processing region, which may contact the semiconductor substrate and selectively etch material.

蝕刻操作可以涉及與特定含氟前驅物一起的附加前驅物。在一些實施例中,可以使用三氟化氮來產生電漿流出物。亦可以利用附加或可替代的含氟前驅物。舉例而言,含氟前驅物可以流入遠端電漿區域,而含氟前驅物可以包括選自原子氟、雙原子氟、三氟化溴、三氟化氯、三氟化氮、氟化氫、六氟化硫、及二氟化氙的群組的至少一個前驅物。遠端電漿區域可以在與處理腔室不同的模組內或在處理腔室內的隔間內。如第2圖所示,RPS單元201與第一電漿區域215二者可以作為遠端電漿區域。RPS可以允許電漿流出物解離而不會損傷其他腔室部件,而第一電漿區域215可以提供到基板的較短路徑長度,在此期間可能發生重組。Etching operations may involve additional precursors along with specific fluorine-containing precursors. In some embodiments, nitrogen trifluoride may be used to generate plasma effluents. Additional or alternative fluorine-containing precursors may also be utilized. For example, a fluorine-containing precursor may flow into the remote plasma region, and the fluorine-containing precursor may include a group selected from atomic fluorine, diatomic fluorine, bromine trifluoride, chlorine trifluoride, nitrogen trifluoride, hydrogen fluoride, hexa At least one precursor of the group of sulfur fluoride and xenon difluoride. The remote plasma region may be in a different module than the processing chamber or in a compartment within the processing chamber. As shown in FIG. 2 , both the RPS unit 201 and the first plasma region 215 can serve as the remote plasma region. The RPS may allow dissociation of the plasma effluent without damaging other chamber components, while the first plasma region 215 may provide a shorter path length to the substrate during which recombination may occur.

附加前驅物亦可以遞送到遠端電漿區域,以增強含氟前驅物。舉例而言,含氮及氫的前驅物或氫前驅物可以與含氟前驅物一起遞送。舉例而言,附加前驅物可以是含氮前驅物(例如,氨)。附加前驅物可以在未激發的狀態中流入處理腔室,以與基板表面相互作用。這些配方可以相對於氮化矽而選擇性蝕刻氧化矽,氮化矽可以覆蓋鰭片元件610。氧化物蝕刻的選擇性可以大於或約100:1,並且可以大於或約150:1。以此方式,可以從第一介電材料615移除所有附加介電材料605(可以是氧化矽),同時鰭片元件610周圍的第一介電材料具有最小損失。Additional precursors can also be delivered to the distal plasmonic region to enhance the fluorine-containing precursors. For example, a nitrogen and hydrogen containing precursor or a hydrogen precursor can be delivered together with a fluorine containing precursor. For example, the additional precursor may be a nitrogen-containing precursor (eg, ammonia). Additional precursors may flow into the processing chamber in an unexcited state to interact with the substrate surface. These formulations can selectively etch silicon oxide relative to silicon nitride, which can cover fin features 610 . The selectivity of the oxide etch may be greater than or about 100:1, and may be greater than or about 150:1. In this way, all of the additional dielectric material 605 (which may be silicon oxide) can be removed from the first dielectric material 615 with minimal loss of the first dielectric material around the fin element 610 .

可以執行相對於介電材料605的第一介電材料615的選擇性蝕刻,以移除所有第一介電材料615,並且可以使用與介電材料605的選擇性蝕刻所使用的類似或不同的前驅物。舉例而言,儘管材料可以是如前所述的任何材料,但在實施例中,第一介電材料615可以是氮化矽或者包括氮化矽,而介電材料605可以是氧化矽或包括氧化矽。相對於氧化矽的氮化矽的選擇性蝕刻可以如前所述利用含氟前驅物,並且亦可以包括含氧前驅物。含氧前驅物可以與含氟化物前驅物一起遞送到遠端電漿區域,或者含氧前驅物可以繞過遠端電漿區域,而直接遞送到處理區域中。在一些實施例中,第一介電材料蝕刻操作在蝕刻期間可以不包括含氫前驅物,並且可以在無氫的環境下執行。操作可以利用大於或約20:1的選擇性而選擇性蝕刻相對於氧化矽的氮化矽,並且可以利用大於或約30:1的選擇性而蝕刻氮化矽。由於第一介電材料615的量可以小於或約10nm、小於或約5nm、或更小,所以低於或約20:1的蝕刻速率仍然可以充分移除第一介電材料,而基本上不會損傷介電材料605。蝕刻亦可以具有相對於矽的氮化矽的選擇性,矽可以是底下的鰭片元件610。選擇性可以大於或約10:1,這可以允許移除所有殘留的第一介電材料615,而基本上不會損傷鰭片元件610。A selective etch of the first dielectric material 615 relative to the dielectric material 605 may be performed to remove all of the first dielectric material 615, and may use a method similar to or different from that used for the selective etch of the dielectric material 605. Precursor. For example, although the materials may be any of the materials described above, in an embodiment, the first dielectric material 615 may be or include silicon nitride, and the dielectric material 605 may be or include silicon oxide. Silicon oxide. Selective etching of silicon nitride relative to silicon oxide may utilize fluorine-containing precursors as described above, and may also include oxygen-containing precursors. The oxygen-containing precursor can be delivered to the remote plasma region along with the fluoride-containing precursor, or the oxygen-containing precursor can bypass the remote plasma region and be delivered directly into the treatment region. In some embodiments, the first dielectric material etch operation may not include a hydrogen-containing precursor during the etch, and may be performed in a hydrogen-free environment. The operation may selectively etch silicon nitride to silicon oxide with a selectivity of greater than or about 20:1, and may etch silicon nitride with a selectivity of greater than or about 30:1. Since the amount of first dielectric material 615 can be less than or about 10 nm, less than or about 5 nm, or less, an etch rate of less than or about 20:1 can still sufficiently remove the first dielectric material without substantially The dielectric material 605 will be damaged. The etch may also be selective to silicon nitride relative to silicon, which may be the underlying fin element 610 . The selectivity may be greater than or about 10:1, which may allow removal of all remaining first dielectric material 615 without substantially damaging fin elements 610 .

在實施例中,蝕刻操作可以在低於約10Torr的情況下執行,以及在實施例中可以在低於或約5Torr的情況下執行。在實施例中,處理亦可以在低於約100℃的溫度下執行,並且可以在低於約50℃的情況下執行。隨著在腔室200或此腔室的變化中執行,或者在能夠執行類似操作的不同腔室中執行,處理可以對於第一介電材料615具有選擇性而移除介電材料605的部分。該等操作亦可以對於介電材料605與鰭片元件610具有選擇性而移除第一介電材料615的部分。In an embodiment, the etching operation may be performed at less than about 10 Torr, and may be performed at less than or about 5 Torr in an embodiment. In embodiments, processing may also be performed at temperatures below about 100°C, and may be performed at temperatures below about 50°C. The process may be selective to the first dielectric material 615 removing portions of the dielectric material 605 as performed in chamber 200 or a variation of this chamber, or in a different chamber capable of performing similar operations. The operations may also be selective to the dielectric material 605 and fin elements 610 to remove portions of the first dielectric material 615 .

在實施例中,轉換操作515可以包括氧化或退火。退火可以涉及濕式或乾式退火,例如分別利用蒸氣或氧氣。退火可以在高於或約100℃的溫度下執行,並且可以在高於或約250℃、高於或約500℃、高於或約750℃、高於或約1000℃、高於或約1250℃、或更高的溫度下進行。退火劑能夠通過氧化矽擴散(例如,介電材料605)。然而,氮化矽(可以是第一介電材料615)可以作為氧化的阻隔。因此,藉由將鰭片元件610b的第二部分容納在氮化矽內,鰭片元件的該部分可以不轉換成氧化矽。在鰭片結構下方可能存在一定量的穿透或潛變,但是可以形成解決此潛變量的鰭片,潛變量可以小於或約為鰭片元件的高度的10%,並且可以小於或約9%、小於或約8%、小於或約7%、小於或約6%、小於或約5%、小於或約4%、小於或約3%、小於或約2%、小於或約1%、小於或約0.5%、小於或約0.1%、或更小。潛變量亦可以在鰭片元件610b的第二部分內延伸小於或約10nm,並且可以小於或約5nm、小於或約4nm、小於或約3nm、小於或約2nm、小於或約1nm、小於或約0.5nm、小於或約0.1nm、或更小。In an embodiment, converting operation 515 may include oxidation or annealing. Annealing may involve wet or dry annealing, such as with steam or oxygen, respectively. Annealing may be performed at a temperature of greater than or about 100°C, and may be greater than or about 250°C, greater than or about 500°C, greater than or about 750°C, greater than or about 1000°C, greater than or about 1250°C °C or higher. Annealing agents can diffuse through silicon oxide (eg, dielectric material 605). However, silicon nitride (which can be the first dielectric material 615) can act as a barrier to oxidation. Thus, by housing the second portion of the fin element 610b within silicon nitride, that portion of the fin element may not be converted to silicon oxide. There may be some amount of penetration or creep beneath the fin structure, but fins can be formed that account for this latent variable, which can be less than or about 10% of the height of the fin element and can be less than or about 9% , less than or about 8%, less than or about 7%, less than or about 6%, less than or about 5%, less than or about 4%, less than or about 3%, less than or about 2%, less than or about 1%, less than Or about 0.5%, less than or about 0.1%, or less. Latent variables may also extend less than or about 10 nm within the second portion of fin element 610b, and may be less than or about 5 nm, less than or about 4 nm, less than or about 3 nm, less than or about 2 nm, less than or about 1 nm, less than or about 0.5 nm, less than or about 0.1 nm, or less.

這進一步解釋選擇性沉積操作505的相關性。舉例而言,若沒有選擇性沉積氮化矽,並在介電材料605上毯覆或共形形成氮化矽,則氧化處理可能不會滲透氮化矽材料,而鰭片元件610a的第一部分可能不會轉換。相反地,若執行後續蝕刻以從介電材料605移除第一介電材料615,則該移除亦可從鰭片元件610的頂部移除第一介電材料。此舉可以將鰭片元件暴露到氧化劑,而氧化劑可以將應該形成電極鰭片的鰭片部分轉換。以此方式,本技術克服了在氧墊上開發矽鰭片的習知技術的缺陷,同時不需要更昂貴的基板(例如,SOI基板)。儘管在一些實施例中,本技術可以執行所沉積的氮化矽的回蝕,以從介電材料605的表面移除任何殘留的氮化物,但是鰭片元件610上的第一介電材料615的厚度可以是介電材料605上的第一介電材料615的厚度的厚度的至少兩倍。因此,回蝕處理可以完全移除介電材料605上的殘留第一介電材料615,同時將鰭片元件610上的完整塗層維持為先前描述的任何厚度。This further explains the relevance of the selective deposition operation 505 . For example, if silicon nitride is not selectively deposited and blanketed or conformally formed on the dielectric material 605, the oxidation process may not penetrate the silicon nitride material and the first portion of the fin element 610a Might not convert. Conversely, if a subsequent etch is performed to remove the first dielectric material 615 from the dielectric material 605 , this removal may also remove the first dielectric material from the top of the fin element 610 . This can expose the fin elements to oxidizing agents which can convert the parts of the fins that should form the electrode fins. In this way, the present technique overcomes the drawbacks of the prior art of developing silicon fins on oxygen pads, while not requiring more expensive substrates (eg, SOI substrates). Although in some embodiments, the present technique can perform an etch back of the deposited silicon nitride to remove any residual nitride from the surface of the dielectric material 605, the first dielectric material 615 on the fin member 610 The thickness of may be at least twice the thickness of the thickness of the first dielectric material 615 on the dielectric material 605 . Thus, the etch-back process can completely remove the residual first dielectric material 615 on the dielectric material 605 while maintaining the full coating on the fin element 610 to any of the previously described thicknesses.

在先前描述中,為了解釋之目的,已經闡述許多細節,以提供對於本技術的各種實施例的理解。然而,對於該領域具有通常知識者顯而易見的是,可以在沒有這些細節中之一些或在具有附加細節的情況下實施某些實施例。In the previous description, for purposes of explanation, numerous details have been set forth in order to provide an understanding of various embodiments of the present technology. It will be apparent, however, to one having ordinary skill in the art that certain embodiments may be practiced without some of these details or with additional details.

已揭示幾個實施例,但應理解,該領域具有通常知識者可以在不悖離實施例的精神的情況下使用各種修改、替代構造、及等同物。此外,為了避免不必要地模糊本技術,並未描述許多已知的處理及元件。因此,上面的描述不應視為限制本技術之範疇。Several embodiments have been disclosed, but it should be understood that those skilled in the art can employ various modifications, alternative constructions, and equivalents without departing from the spirit of the embodiments. Additionally, many known processes and elements have not been described in order to avoid unnecessarily obscuring the technology. Therefore, the above description should not be taken as limiting the scope of the technology.

當提供值的範圍時,應理解,除非上下文另有明確說明,亦具體揭示該範圍的上限與下限之間的每一中間值到下限單位的最小部分。包括在所述範圍中的任何所述值或未敘述的中間值之間的任何較窄範圍以及所述範圍中的任何其他所述或中間值。除非所述範圍具有任何具體排除限制,這些較小範圍的上限與下限可以獨立地包括在範圍中或排除在外,而上限與下限中之任一者或二者都包括或都不包括在較小範圍中的每一範圍亦包括在本技術內。在所述範圍包括一或二個限制的情況下,則亦包括排除這些所包括限制中的一或二者的範圍。 When a range of values is provided, it is understood that, unless the context clearly dictates otherwise, each intervening value between the upper and lower limits of that range, to the smallest fraction of the unit of the lower limit, is also specifically disclosed. Any narrower range between any stated value or unrecited intervening value in a stated range as well as any other stated or intervening value in a stated range is included. Subject to any specifically excluded limitations on the stated ranges, the upper and lower limits of these smaller ranges may independently be included in or excluded from the range, and either or both limits may or may not be included in the smaller ranges. Each of the ranges is also included in the technology. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.

如本文及隨附專利申請範圍中所使用,除非上下文另有明確說明,否則單數形式「一」、「一個」、及「該」包括複數指稱。因此,舉例而言,指稱「一層」包括複數個這樣的層,而指稱「前驅物」包括指稱該領域具有通常知識者已知的一或更多個前驅物及其等同物等等。 As used herein and in the appended claims, the singular forms "a," "an," and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a layer" includes a plurality of such layers and reference to "a precursor" includes reference to one or more precursors and equivalents thereof known to those of ordinary skill in the art, and so on.

此外,在本說明書及以下請求項中使用詞語「包含」、「所包含」、「含有」、「所含有」、「包括」、及「所包括」時,意欲在指定所述特徵、整體、部件、或操作的存在,但是不排除一或更多個其他特徵、整體、部件、操作、動作、或群組的存在或附加。 In addition, when the words "comprises", "includes", "includes", "includes", and "includes" are used in this specification and the claims below, they are intended to be The presence of a component, or an operation, does not preclude the presence or addition of one or more other features, integers, components, operations, actions, or groups.

10:裝載閘腔室 10: Loading lock chamber

15:隔離閥 15: Isolation valve

20:處理腔室 20: Processing chamber

30:氣體分配板 30: Gas distribution plate

60:基板 60: Substrate

61:第一表面 61: first surface

65:梭子 65:Shuttle

67:頂表面 67: top surface

70:軌道 70: track

90:輻射熱源 90: Radiant heat source

100:處理系統 100: Processing system

102:前開口統一莢 102: Front Opening Uniform Pod

104:機器臂 104: Robot arm

106:托持區域 106: Supporting area

108a:處理腔室 108a: processing chamber

108b:處理腔室 108b: processing chamber

108c:處理腔室 108c: processing chamber

108d:處理腔室 108d: processing chamber

108e:處理腔室 108e: processing chamber

108f:處理腔室 108f: processing chamber

109a:串聯區段 109a: Tandem segments

109b:串聯區段 109b: Concatenated segments

109c:串聯區段 109c: Concatenated segments

110:第二機器臂 110: Second robot arm

200:腔室 200: chamber

201:RPS單元 201: RPS unit

203:冷卻板 203: cooling plate

205:氣體入口組件 205: Gas inlet assembly

210:流體供應系統 210: Fluid supply system

214:上板 214: upper board

215:第一電漿區域 215: The first plasma area

216:下板 216: lower board

217:面板 217: panel

218:容積 218: Volume

219:第一流體通道 219: First fluid channel

220:絕緣環 220: insulation ring

221:第二流體通道 221: second fluid channel

223:離子消除器 223: ion eliminator

225:噴淋頭 225: sprinkler head

233:基板處理區域 233: Substrate processing area

240:功率供應器 240: power supply

253:詳細視圖 253:Detail view

255:基板 255: Substrate

258:氣體供應區域 258: gas supply area

259:孔隙 259: porosity

265:台座 265:Pedestal

325:噴淋頭 325: sprinkler head

365:通孔 365: through hole

375:小孔洞 375: small hole

400:腔室 400: chamber

420:注射器 420: Syringe

425:氣體埠 425: gas port

430:注射器 430:Syringe

435:氣體埠 435: gas port

440:注射器 440:Syringe

445:氣體埠 445: gas port

450:泵送系統 450: Pumping system

455:真空埠 455: vacuum port

460:分區 460: partition

498:箭頭 498:Arrow

500:方法 500: method

505:操作 505: Operation

510:操作 510: Operation

515:操作 515: Operation

520:操作 520: Operation

525:操作 525: Operation

600:基板 600: Substrate

605:介電材料 605: Dielectric material

610a:鰭片元件 610a: fin element

610b:鰭片元件 610b: Fin element

615:第一介電材料 615: The first dielectric material

可以藉由參照說明書及圖式的其餘部分來實現所揭示的技術的本質及優點的進一步理解。A further understanding of the nature and advantages of the disclosed technology may be realized by referring to the remaining portions of the specification and drawings.

第1圖圖示根據本技術的實施例的示例性處理系統的頂視平面圖。Figure 1 illustrates a top plan view of an exemplary processing system in accordance with an embodiment of the present technology.

第2A圖圖示根據本技術的實施例的示例性處理腔室的示意性橫截面圖。Figure 2A illustrates a schematic cross-sectional view of an exemplary processing chamber in accordance with embodiments of the present technology.

第2B圖圖示根據本技術的實施例的示例性面板的詳細視圖。Figure 2B illustrates a detailed view of an exemplary panel in accordance with an embodiment of the present technology.

第3圖圖示根據本技術的實施例的示例性噴淋頭的底視平面圖。Figure 3 illustrates a bottom plan view of an exemplary showerhead in accordance with an embodiment of the present technology.

第4圖圖示根據本技術的實施例的示例性處理腔室的示意性橫截面圖。Figure 4 illustrates a schematic cross-sectional view of an exemplary processing chamber in accordance with embodiments of the present technology.

第5圖圖示根據本技術的實施例的形成半導體結構的方法中的所選擇操作。Figure 5 illustrates selected operations in a method of forming a semiconductor structure in accordance with an embodiment of the present technology.

第6A圖至第6E圖圖示根據本技術的實施例的示例性基板的示意性橫截面圖。Figures 6A-6E illustrate schematic cross-sectional views of exemplary substrates in accordance with embodiments of the present technology.

圖式中的幾個係包括作為示意圖。應理解,圖式僅用於說明目的,而除非特別聲明具有標度,否則不應視為比例。此外,作為示意圖,圖式係提供為幫助理解,並且可能不包括相較於實際表示的所有態樣或資訊,並且可能包括用於說明目的之誇大材料。Several lines in the figures are included as schematic diagrams. It should be understood that the drawings are for illustrative purposes only and should not be considered to scale unless scales are specifically stated. In addition, as schematic diagrams, drawings are provided to aid in understanding and may not include all aspects or information compared to actual representations, and may include exaggerated material for illustrative purposes.

在隨附圖式中,類似的部件及/或特徵可以具有相同的元件符號。此外,相同類型的各種部件可以藉由在元件符號後利用字母來區分,以區分類似部件。若在說明書中僅使用最前面的元件符號,則該描述係適用於具有相同最前面的元件符號的任何一個類似部件,而與字母無關。In the accompanying drawings, similar components and/or features may have the same reference number. In addition, various components of the same type can be distinguished by using a letter after the element number to distinguish similar components. If only the first element number is used in the description, the description is applicable to any one of the similar parts with the same first element number, regardless of the letter.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic deposit information (please note in order of depositor, date, and number) None

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Overseas storage information (please note in order of storage country, institution, date, number) None

500‧‧‧方法 500‧‧‧method

505‧‧‧操作 505‧‧‧Operation

510‧‧‧操作 510‧‧‧Operation

515‧‧‧操作 515‧‧‧Operation

520‧‧‧操作 520‧‧‧Operation

525‧‧‧操作 525‧‧‧Operation

Claims (19)

一種形成一半導體結構的方法,該方法包含以下步驟:提供一半導體基板,該半導體基板包含一第二介電材料以及在該第二介電材料上方延伸的一矽元件;在該半導體基板上的該矽元件上沉積一第一介電材料,其中利用該矽元件相對於該第二介電材料大於或約2:1的一選擇性而執行該第一介電材料沉積;將該矽元件的一部分轉換成氧化矽;選擇性蝕刻該第二介電材料,其中利用該第二介電材料相對於該第一介電材料大於或約100:1的一選擇性而執行該第二介電材料蝕刻;以及從該矽元件選擇性蝕刻該第一介電材料。 A method of forming a semiconductor structure, the method comprising the steps of: providing a semiconductor substrate comprising a second dielectric material and a silicon element extending over the second dielectric material; depositing a first dielectric material on the silicon element, wherein the deposition of the first dielectric material is performed with a selectivity of the silicon element relative to the second dielectric material greater than or about 2:1; converting a portion to silicon oxide; selectively etching the second dielectric material, wherein the second dielectric material is performed with a selectivity of the second dielectric material relative to the first dielectric material of greater than or about 100:1 etching; and selectively etching the first dielectric material from the silicon element. 如請求項1所述之形成一半導體結構的方法,其中該第一介電材料包含氮化矽,而該第二介電材料包含氧化矽。 The method of forming a semiconductor structure as claimed in claim 1, wherein the first dielectric material comprises silicon nitride, and the second dielectric material comprises silicon oxide. 如請求項1所述之形成一半導體結構的方法,其中該沉積步驟包含一原子層沉積處理。 The method of forming a semiconductor structure as claimed in claim 1, wherein the depositing step comprises an atomic layer deposition process. 如請求項1所述之形成一半導體結構的方法,其中該轉換步驟包含以下步驟:在高於或約100℃的一溫度下執行一退火。 The method of forming a semiconductor structure as recited in claim 1, wherein the converting step comprises the step of: performing an anneal at a temperature higher than or about 100°C. 如請求項4所述之形成一半導體結構的方法, 其中該退火轉換位於該第二介電材料內的該矽元件的一部分。 The method for forming a semiconductor structure as claimed in claim 4, Wherein the anneal converts a portion of the silicon device within the second dielectric material. 如請求項4所述之形成一半導體結構的方法,其中該退火包含一濕式退火或一乾式退火。 The method for forming a semiconductor structure as claimed in claim 4, wherein the annealing comprises a wet annealing or a dry annealing. 如請求項1所述之形成一半導體結構的方法,進一步包含以下步驟:在該第一介電材料周圍沉積附加的第二介電材料。 The method of forming a semiconductor structure as recited in claim 1, further comprising the step of: depositing an additional second dielectric material around the first dielectric material. 如請求項7所述之形成一半導體結構的方法,進一步包含以下步驟:在該轉換步驟之後,使該第二介電材料凹陷。 The method of forming a semiconductor structure as recited in claim 7, further comprising the step of: recessing the second dielectric material after the converting step. 如請求項1所述之形成一半導體結構的方法,其中該矽元件包含以小於20nm的一寬度為特徵的一鰭片。 The method of forming a semiconductor structure as recited in claim 1, wherein the silicon device includes a fin characterized by a width of less than 20 nm. 一種形成一半導體結構的方法,該方法包含以下步驟:提供一半導體基板,該半導體基板包含一含氧材料以及在該含氧材料上方延伸的一矽元件;在該矽元件上沉積一含氮材料,其中利用該矽元件相對於該含氧材料大於或約2:1的一選擇性而執行該含氮材料沉積,其中該矽元件的一第一部分係包含在該含氧材料內,且其中該含氮材料係沉積在從該含氧材料延伸的該矽元件的一第二部分周圍; 將該矽元件的該第一部分轉換成氧化矽;選擇性蝕刻該含氧材料,其中利用該含氧材料相對於該含氮材料大於或約100:1的一選擇性而執行該含氧材料蝕刻;以及從該矽元件選擇性蝕刻該含氮材料。 A method of forming a semiconductor structure, the method comprising the steps of: providing a semiconductor substrate comprising an oxygen-containing material and a silicon element extending over the oxygen-containing material; depositing a nitrogen-containing material on the silicon element , wherein the nitrogen-containing material deposition is performed with a selectivity of the silicon element relative to the oxygen-containing material of greater than or about 2:1, wherein a first portion of the silicon element is contained within the oxygen-containing material, and wherein the nitrogen-containing material is deposited around a second portion of the silicon element extending from the oxygen-containing material; converting the first portion of the silicon element to silicon oxide; selectively etching the oxygen-containing material, wherein the oxygen-containing material etching is performed with a selectivity of the oxygen-containing material relative to the nitrogen-containing material of greater than or about 100:1 and selectively etching the nitrogen-containing material from the silicon device. 如請求項10所述之形成一半導體結構的方法,進一步包含以下步驟:在所沉積的該含氮材料周圍沉積附加的含氧材料。 The method of forming a semiconductor structure as recited in claim 10, further comprising the step of depositing additional oxygen-containing material around the deposited nitrogen-containing material. 如請求項11所述之形成一半導體結構的方法,其中該附加的含氧材料延伸至該含氮材料的一相等高度。 The method of forming a semiconductor structure as recited in claim 11, wherein the additional oxygen-containing material extends to an equal height of the nitrogen-containing material. 如請求項10所述之形成一半導體結構的方法,其中該矽元件的該第二部分的特徵係為多達約200nm的一高度。 The method of forming a semiconductor structure of claim 10, wherein the second portion of the silicon device is characterized by a height of up to about 200 nm. 如請求項10所述之形成一半導體結構的方法,其中將該矽元件的該第一部分轉換成氧化矽之步驟係將小於10%的該矽元件的該第二部分轉換成氧化矽。 The method of forming a semiconductor structure as recited in claim 10, wherein the step of converting the first portion of the silicon element into silicon oxide converts less than 10% of the second portion of the silicon element into silicon oxide. 如請求項14所述之形成一半導體結構的方法,其中將該矽元件的該第一部分轉換成氧化矽之步驟係將小於5nm的該矽元件的該第二部分轉換成氧化矽。 The method of forming a semiconductor structure as claimed in claim 14, wherein the step of converting the first portion of the silicon element into silicon oxide is converting the second portion of the silicon element smaller than 5nm into silicon oxide. 如請求項10所述之形成一半導體結構的方法,其中該轉換步驟包含以下步驟:在高於或約500℃的一溫度下執行一退火。 The method of forming a semiconductor structure as recited in claim 10, wherein the converting step comprises the step of: performing an anneal at a temperature higher than or about 500°C. 如請求項16所述之形成一半導體結構的方法,其中該退火包含一濕式退火或一乾式退火。 The method of forming a semiconductor structure as claimed in claim 16, wherein the annealing comprises a wet annealing or a dry annealing. 一種形成一半導體結構的方法,該方法包含以下步驟:提供一半導體基板,該半導體基板包含一含氧材料以及在該含氧材料上方延伸的一矽元件;在該矽元件上沉積一含氮材料,其中利用該矽元件相對於該含氧材料大於或約2:1的一選擇性而執行該含氮材料沉積,其中該矽元件的一第一部分係包含在該含氧材料內,且其中該含氮材料係沉積在從該含氧材料延伸的該矽元件的一第二部分周圍;在所沉積的該含氮材料周圍沉積附加的含氧材料;執行一退火,以將該矽元件的該第一部分轉換成氧化矽;從該半導體結構選擇性蝕刻該附加的含氧材料,其中利用該附加的含氧材料相對於該含氮材料大於或約100:1的一選擇性而執行該附加的含氧材料蝕刻;以及從該矽元件選擇性蝕刻該含氮材料。 A method of forming a semiconductor structure, the method comprising the steps of: providing a semiconductor substrate comprising an oxygen-containing material and a silicon element extending over the oxygen-containing material; depositing a nitrogen-containing material on the silicon element , wherein the nitrogen-containing material deposition is performed with a selectivity of the silicon element relative to the oxygen-containing material of greater than or about 2:1, wherein a first portion of the silicon element is contained within the oxygen-containing material, and wherein the nitrogen-containing material is deposited around a second portion of the silicon element extending from the oxygen-containing material; additional oxygen-containing material is deposited around the deposited nitrogen-containing material; an anneal is performed to the silicon element of the first portion converted to silicon oxide; selectively etching the additional oxygen-containing material from the semiconductor structure, wherein the additional oxygen-containing material is performed with a selectivity of greater than or about 100:1 relative to the nitrogen-containing material oxygen-containing material etching; and selectively etching the nitrogen-containing material from the silicon device. 如請求項18所述之形成一半導體結構的方法,其中每一選擇性蝕刻係利用一含氟電漿的流出物。 The method of forming a semiconductor structure as recited in claim 18, wherein each selective etch utilizes an effluent of a fluorine-containing plasma.
TW107113485A 2017-04-20 2018-04-20 Conversion of sub-fin to soi TWI782981B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762487682P 2017-04-20 2017-04-20
US62/487,682 2017-04-20

Publications (2)

Publication Number Publication Date
TW201842557A TW201842557A (en) 2018-12-01
TWI782981B true TWI782981B (en) 2022-11-11

Family

ID=63857012

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113485A TWI782981B (en) 2017-04-20 2018-04-20 Conversion of sub-fin to soi

Country Status (2)

Country Link
TW (1) TWI782981B (en)
WO (1) WO2018195413A1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130320455A1 (en) * 2011-12-20 2013-12-05 Annalisa Cappellani Semiconductor device with isolated body portion
WO2017052601A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Techniques for controlling transistor sub-fin leakage

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8080481B2 (en) * 2005-09-22 2011-12-20 Korea Electronics Technology Institute Method of manufacturing a nanowire device
US7851790B2 (en) * 2008-12-30 2010-12-14 Intel Corporation Isolated Germanium nanowire on Silicon fin
US9859430B2 (en) * 2015-06-30 2018-01-02 International Business Machines Corporation Local germanium condensation for suspended nanowire and finFET devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130320455A1 (en) * 2011-12-20 2013-12-05 Annalisa Cappellani Semiconductor device with isolated body portion
WO2017052601A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Techniques for controlling transistor sub-fin leakage

Also Published As

Publication number Publication date
TW201842557A (en) 2018-12-01
WO2018195413A1 (en) 2018-10-25

Similar Documents

Publication Publication Date Title
TWI790265B (en) Improved metal contact landing structure
TWI775839B (en) Structure with selective barrier layer
US20150214066A1 (en) Method for material removal in dry etch reactor
TWI705529B (en) Airgap formation processes
JP7401593B2 (en) Systems and methods for forming voids
TWI783222B (en) Formation of bottom isolation
TWI751326B (en) Self-aligned via process flow
TWI758464B (en) Selective formation of silicon-containing spacer
TWI774754B (en) Self-aligned contact and gate process flow
TWI782981B (en) Conversion of sub-fin to soi
TW202244981A (en) Systems and methods for nitride-containing film removal
TWI798215B (en) Selective sidewall spacers
TWI778048B (en) Methods of forming semiconductor structures
US11715780B2 (en) High performance and low power semiconductor device
TW202412087A (en) Methods of highly selective silicon oxide removal
CN117769756A (en) Metal deposition and etching in high aspect ratio features