TW201832286A - Plasma etching method - Google Patents

Plasma etching method Download PDF

Info

Publication number
TW201832286A
TW201832286A TW106141258A TW106141258A TW201832286A TW 201832286 A TW201832286 A TW 201832286A TW 106141258 A TW106141258 A TW 106141258A TW 106141258 A TW106141258 A TW 106141258A TW 201832286 A TW201832286 A TW 201832286A
Authority
TW
Taiwan
Prior art keywords
plasma
metal
film
plasma etching
etching
Prior art date
Application number
TW106141258A
Other languages
Chinese (zh)
Other versions
TWI750268B (en
Inventor
勝沼隆幸
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201832286A publication Critical patent/TW201832286A/en
Application granted granted Critical
Publication of TWI750268B publication Critical patent/TWI750268B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

In a plasma etching method, a deposit containing an element forming an upper electrode is deposited on a metal-containing mask having a predetermined pattern while sputtering the upper electrode by a plasma of a first processing gas. Then, an etching target film is etched by a plasma of a second processing gas while using the metal-containing mask on which the deposit is deposited as a mask.

Description

電漿蝕刻方法Plasma etching method

本發明之各層面及實施形態,係有關於電漿蝕刻方法及電漿蝕刻裝置。Each aspect and embodiment of the present invention relates to a plasma etching method and a plasma etching apparatus.

於習知技術,電漿蝕刻裝置係以例如光阻或含金屬遮罩作為遮罩,而進行被處理膜之蝕刻。又,若係以光阻用作遮罩,則有一種手法,是對於含有矽的上部電極施加負直流電壓,同時藉由處理氣體之電漿,而在光阻表面,沉積含矽沉積物以作為保護膜。 [習知技術文獻] [專利文獻]In the conventional technology, the plasma etching device uses, for example, a photoresist or a metal-containing mask as a mask to etch a film to be processed. In addition, if a photoresist is used as a mask, there is a method of applying a negative DC voltage to the upper electrode containing silicon, and simultaneously processing the plasma of the gas to deposit a silicon-containing deposit on the surface of the photoresist. As a protective film. [Habitual technical literature] [patent literature]

[專利文獻1]日本特開2003-282539號公報 [專利文獻2]日本特開2014-82228號公報[Patent Document 1] Japanese Patent Laid-Open No. 2003-282539 [Patent Document 2] Japanese Patent Laid-Open No. 2014-82228

[發明所欲解決的問題] 然而,在電漿蝕刻裝置使用含金屬遮罩作為遮罩的情況下,並未顧及到要避免因遮罩材料所導致之蝕刻停止。亦即,在電漿蝕刻裝置,於使用含金屬遮罩作為遮罩的情況下,會由於有金屬從含金屬遮罩飛散,並作為金屬化合物而附著在被處理膜,從而阻礙被處理膜之蝕刻;其結果,有時會有發生蝕刻停止的問題。 [解決問題之技術手段][Problems to be Solved by the Invention] However, in the case where the plasma etching apparatus uses a metal-containing mask as a mask, no consideration is given to avoiding the stop of the etching caused by the mask material. That is, in the case of a plasma etching device using a metal-containing mask as a mask, metal is scattered from the metal-containing mask and adheres to the film to be treated as a metal compound, thereby hindering the film to be treated. Etching; as a result, there may be a problem that the etching stops. [Technical means to solve the problem]

本發明一層面之電漿蝕刻方法,包含以下步驟:沉積步驟,一邊以第1處理氣體之電漿濺射上部電極,一邊使含有構成該上部電極之元素的沉積物,沉積於具有既定之圖案的含金屬遮罩;以及蝕刻步驟,以沉積了含有構成該上部電極之元素的沉積物之該含金屬遮罩作為遮罩,而以第2處理氣體之電漿來蝕刻被處理膜。 [發明之效果]The plasma etching method of one aspect of the present invention includes the following steps: a deposition step, while depositing an upper electrode with a plasma of a first processing gas, depositing a deposit containing elements constituting the upper electrode on a predetermined pattern A metal-containing mask; and an etching step, using the metal-containing mask containing a deposit containing elements constituting the upper electrode as a mask, and etching the film to be treated with a plasma of a second processing gas. [Effect of the invention]

藉由本發明之各層面及實施形態,而使用含金屬遮罩以作為遮罩,可實現一種電漿蝕刻方法,其可以避免因為遮罩材料所導致之蝕刻停止。By using the various layers and embodiments of the present invention and using a metal-containing mask as a mask, a plasma etching method can be realized, which can avoid the etching stop caused by the mask material.

以下針對所揭露之電漿蝕刻方法及電漿蝕刻裝置之實施形態,參照圖式而進行詳細說明。又,本實施形態所揭露之發明並不用以限定。各實施形態可在不使處理內容彼此產生矛盾的範圍內,酌情組合。The embodiments of the plasma etching method and the plasma etching apparatus disclosed below are described in detail with reference to the drawings. The invention disclosed in this embodiment is not limited. Each embodiment can be combined as appropriate within a range that does not contradict each other.

(第1實施形態之電漿蝕刻裝置) 圖1係單純化第1實施形態之電漿蝕刻裝置而示意繪示的剖面圖。如圖1所示,電漿蝕刻裝置,係下部施加雙頻RF型的電漿蝕刻裝置,其由第1高頻電源89對作為下部電極之承載盤16,施加用以產生電漿之例如40MHz的高頻(RF)電力,並且從第2高頻電源90,施加用以引入離子之例如2MHz的高頻(RF)電力;又係如圖示般,係將可變直流電源50連接至上部電極34,而對上部電極34施加既定之直流(DC)電壓的電漿蝕刻裝置。(Plasma Etching Apparatus of the First Embodiment) FIG. 1 is a cross-sectional view schematically showing a plasma etching apparatus according to the first embodiment. As shown in FIG. 1, the plasma etching device is a plasma etching device that applies a dual-frequency RF type at the lower portion. The plasma etching device includes a first high-frequency power source 89 and a carrier electrode 16 serving as a lower electrode. High-frequency (RF) power, and from the second high-frequency power source 90, for example, 2MHz high-frequency (RF) power is used to introduce ions; as shown in the figure, a variable DC power source 50 is connected to the upper part The electrode 34 is a plasma etching device that applies a predetermined direct current (DC) voltage to the upper electrode 34.

圖2係繪示第1實施形態之電漿蝕刻裝置的概略剖面圖。電漿蝕刻裝置,係構成為電容耦合型的平行平板電漿蝕刻裝置,具有例如表面經過陽極氧化處理之鋁所構成之大致圓筒狀的腔體(處理容器)10。腔體10有接地。Fig. 2 is a schematic sectional view showing a plasma etching apparatus according to the first embodiment. The plasma etching apparatus is a parallel-plate plasma etching apparatus configured as a capacitive coupling type, and has, for example, a substantially cylindrical cavity (processing container) 10 made of anodized aluminum. The cavity 10 is grounded.

於腔體10之底部,隔著陶瓷等所構成之絶緣板12,而配置圓柱狀的承載盤支持台14。在承載盤支持台14上,設有例如由鋁所構成之承載盤16。承載盤16構成下部電極,而在承載盤16上載置有作為被處理體之半導體晶圓(以下稱為「晶圓」)W。At the bottom of the cavity 10, a cylindrical support tray 14 is arranged through an insulating plate 12 made of ceramics or the like. On the support tray support table 14, a support tray 16 made of, for example, aluminum is provided. The carrier plate 16 constitutes a lower electrode, and a semiconductor wafer (hereinafter referred to as a “wafer”) W as a processing object is placed on the carrier plate 16.

在承載盤16之頂面,設有以靜電力吸附保持晶圓W的靜電式固定座18。靜電式固定座18,具有以一對絶緣層或絶緣片夾住導電膜所構成之電極20的構造,電極20則與直流電源22電性連接。在靜電式固定座18,係以來自直流電源22的直流電壓所產生的庫侖力等靜電力,而吸附保持晶圓W。On the top surface of the carrier plate 16, an electrostatic fixing base 18 for holding and holding the wafer W by electrostatic force is provided. The electrostatic fixing base 18 has a structure in which an electrode 20 made of a conductive film is sandwiched by a pair of insulating layers or sheets, and the electrode 20 is electrically connected to the DC power source 22. The electrostatic mount 18 is configured to attract and hold the wafer W by an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power source 22.

在靜電式固定座18(晶圓W)之周圍、且係承載盤16之頂面,配置著提高蝕刻均勻性的導電性之聚焦環(補正環)24。聚焦環(補正環)24例如係由矽所形成。於承載盤16及承載盤支持台14之側面,設有例如由石英所構成之圓筒狀的內壁構件26。A focus ring (correction ring) 24 is provided around the electrostatic mount 18 (wafer W) and on the top surface of the carrier plate 16 to improve the uniformity of etching. The focus ring (correction ring) 24 is formed of, for example, silicon. A cylindrical inner wall member 26 made of, for example, quartz is provided on the side surfaces of the supporting plate 16 and the supporting plate support 14.

於承載盤支持台14之內部,例如在圓周上設有冷媒室28。對於冷媒室28,係從設在外部之未圖示之急冷器單元,經由配管30a、30b,而循環供給既定溫度之冷媒。承載盤16上之晶圓W的處理溫度,係藉由冷媒的溫度而受到控制。A refrigerant chamber 28 is provided on the inside of the tray support table 14 on the circumference, for example. The refrigerant chamber 28 is provided with a refrigerant at a predetermined temperature from a quencher unit (not shown) provided on the outside through pipes 30a and 30b. The processing temperature of the wafer W on the carrier disk 16 is controlled by the temperature of the refrigerant.

再者,從未圖示之導熱氣體供給機構,會經由氣體供給管線32,而對靜電式固定座18的頂面及晶圓W的背面之間,供給例如係氦氣之導熱氣體。In addition, a heat-conducting gas supply mechanism (not shown) supplies a heat-conducting gas such as helium gas between the top surface of the electrostatic mount 18 and the back surface of the wafer W through the gas supply line 32.

在作為下部電極之承載盤16上方,係以相向於承載盤16的方式,平行地設置上部電極34。上部電極34與下部電極16之間的空間,就是「電漿產生空間」。上部電極34,係與作為下部電極之承載盤16上的晶圓W相向,而形成與電漿產生空間相接之面,亦即相向面。Above the carrier plate 16 as the lower electrode, an upper electrode 34 is provided in parallel so as to face the carrier plate 16. The space between the upper electrode 34 and the lower electrode 16 is the "plasma generating space". The upper electrode 34 faces the wafer W on the carrier plate 16 serving as the lower electrode, and forms a surface contacting the plasma generation space, that is, an opposite surface.

上部電極34透過絶緣性遮蔽構件42,而被支撐在腔體10的上部。上部電極34係由電極板36及水冷構造的電極支持體38所構成;電極板36構成相向於承載盤16的面,且具有許多氣體釋出孔37;電極支持體38以裝卸自如地方式支持電極板36,並係由導電性材料所構成。形成電極支持體38的導電性材料,係例如表面經過陽極氧化處理的鋁。電極板36係由含矽物質所形成,例如係由矽所形成。矽係構成上部電極34之元素的一例。電極支持體38之內部,設有氣體擴散室40。有許多連通至氣體釋出孔37的氣體通流孔41,就從氣體擴散室40,朝向下方延伸。The upper electrode 34 is supported by the upper portion of the cavity 10 through the insulating shielding member 42. The upper electrode 34 is composed of an electrode plate 36 and an electrode support 38 of a water-cooled structure; the electrode plate 36 forms a surface opposite to the carrier plate 16 and has a plurality of gas release holes 37; the electrode support 38 is supported in a detachable manner The electrode plate 36 is made of a conductive material. The conductive material forming the electrode support 38 is, for example, aluminum whose surface is anodized. The electrode plate 36 is formed of a silicon-containing substance, for example, formed of silicon. An example of a silicon-based element constituting the upper electrode 34. A gas diffusion chamber 40 is provided inside the electrode support 38. A plurality of gas flow holes 41 communicating with the gas release holes 37 extend downward from the gas diffusion chamber 40.

於電極支持體38,形成有氣體導入口62,用以對氣體擴散室40導入處理氣體。氣體導入口62連接著氣體供給管64,氣體供給管64則連接著處理氣體供給源66。於氣體供給管64,自上游側依序設有質量流量控制器(MFC)68及開閉閥70。從處理氣體供給源66,釋出例如C4 F8 氣體這類的碳氟氣體(CxFy)以作為用以蝕刻的處理氣體;其係由氣體供給管64到達氣體擴散室40,再經由氣體通流孔41及氣體釋出孔37,而噴灑(shower)狀地釋出至電漿產生空間。亦即,上部電極34發揮用以供給處理氣體的噴灑頭(shower head)的功能。A gas introduction port 62 is formed in the electrode support 38 for introducing a processing gas into the gas diffusion chamber 40. The gas introduction port 62 is connected to a gas supply pipe 64, and the gas supply pipe 64 is connected to a process gas supply source 66. A mass flow controller (MFC) 68 and an on-off valve 70 are sequentially provided on the gas supply pipe 64 from the upstream side. From the processing gas supply source 66, a fluorocarbon gas (CxFy) such as a C 4 F 8 gas is released as a processing gas for etching; it reaches the gas diffusion chamber 40 from the gas supply pipe 64 and passes through the gas passage. The flow holes 41 and the gas release holes 37 are released into the plasma generation space in a shower. That is, the upper electrode 34 functions as a shower head for supplying a process gas.

又,如後文所述,處理氣體供給源66會供給用於沉積含矽沉積物的處理氣體、或是用於蝕刻的處理氣體等等。處理氣體供給源66所供給之氣體的詳情,留待後述。As described later, the processing gas supply source 66 supplies a processing gas for depositing a silicon-containing deposit, a processing gas for etching, and the like. Details of the gas supplied from the process gas supply source 66 will be described later.

上部電極34經由低通濾波器(LPF)46a,而與可變直流電源50電性連接。可變直流電源50,亦可係雙極電源。可變直流電源50,藉由通—斷開關(on-off switch)52,而可對供電進行導通或切斷。可變直流電源50的極性及電流、電壓,以及通—斷開關52的導通・切斷,係受到控制器(控制裝置)51所控制。The upper electrode 34 is electrically connected to the variable DC power source 50 through a low-pass filter (LPF) 46a. The variable DC power supply 50 may also be a bipolar power supply. The variable DC power supply 50 can be turned on or off by an on-off switch 52. The polarity, current, and voltage of the variable DC power supply 50 and the on / off of the on-off switch 52 are controlled by a controller (control device) 51.

低通濾波器(LPF)46a,係用以捕捉來自後述之第1及第2高頻電源的高頻,較佳係由LR濾波器或LC濾波器所構成。The low-pass filter (LPF) 46a is used to capture high frequencies from the first and second high-frequency power sources described later, and is preferably composed of an LR filter or an LC filter.

圓筒狀的接地導體10a,設置成從腔體10的側壁,延伸至比上部電極34的高度位置更為朝向上方。圓筒狀的接地導體10a,在其上部具有頂板。The cylindrical ground conductor 10 a is provided so as to extend upward from the side wall of the cavity 10 to a position higher than the height of the upper electrode 34. The cylindrical ground conductor 10a has a top plate on the upper portion.

作為下部電極的承載盤16,係經由匹配器87而與第1高頻電源89電性連接。又,承載盤16,經由匹配器88而與第2高頻電源90電性連接。第1高頻電源89,輸出27MHz以上的頻率,例如40MHz的高頻電力。第1高頻電源89所輸出之高頻電力,係用以產生電漿的高頻電力;於下文中,簡明記述為「產生電漿用高頻電力」。第2高頻電源90,輸出13.56MHz以下的頻率,例如2MHz的高頻電力。第2高頻電源90所輸出之高頻電力,係用以引入電漿中的離子之高頻電力;於下文中,簡明記述為「引入離子用高頻電力」。又,第1高頻電源89,亦可經由匹配器87,而與上部電極34電性連接。The carrier plate 16 as the lower electrode is electrically connected to the first high-frequency power source 89 via a matching device 87. In addition, the carrier disk 16 is electrically connected to the second high-frequency power source 90 via a matching device 88. The first high-frequency power source 89 outputs a frequency of 27 MHz or higher, for example, a high-frequency power of 40 MHz. The high-frequency power output by the first high-frequency power source 89 is high-frequency power used to generate plasma; hereinafter, it is briefly described as "generating high-frequency power for plasma". The second high-frequency power source 90 outputs a frequency of 13.56 MHz or less, for example, a high-frequency power of 2 MHz. The high-frequency power output from the second high-frequency power source 90 is high-frequency power used to introduce ions in the plasma; hereinafter, it is briefly described as "high-frequency power for introducing ions." In addition, the first high-frequency power source 89 may be electrically connected to the upper electrode 34 via the matching device 87.

匹配器87、88,分別係用以對第1及第2高頻電源89、90之內部(或輸出)阻抗進行負載阻抗之匹配者;其功能係在對腔體10內產生電漿時,使第1及第2高頻電源89、90之內部阻抗與負載阻抗表觀上一致。The matchers 87 and 88 are used to match the load impedance of the internal (or output) impedances of the first and second high-frequency power sources 89 and 90, respectively. Their function is to generate a plasma in the cavity 10, The internal impedances of the first and second high-frequency power sources 89 and 90 and the load impedances are apparently matched.

於腔體10的底部設有排氣口80,排氣口80經由排氣管82而與排氣裝置84連接。排氣裝置84,具有渦輪分子泵等等的真空泵,而可以使腔體10內減壓至所要的真空度。再者,於腔體10的側壁,設有晶圓W的搬入搬出口85。搬入搬出口85,可藉由閘閥86而開閉。再者,沿著腔體10的內壁,裝卸自如地設有沉積屏蔽罩(deposition shield)11,用以防止蝕刻副產物(沉積物)附著。亦即,沉積屏蔽罩11,就構成腔體壁。再者,沉積屏蔽罩11,亦設於內壁構件26之外圈。腔體10底部之腔體壁側的沉積屏蔽罩11、與內壁構件26側的沉積屏蔽罩11之間,設有排氣板83。就沉積屏蔽罩11及排氣板83而言,較佳係可使用在鋁材包覆Y2 O3 等陶瓷者。An exhaust port 80 is provided at the bottom of the cavity 10, and the exhaust port 80 is connected to an exhaust device 84 via an exhaust pipe 82. The exhaust device 84 has a vacuum pump such as a turbo molecular pump, and can reduce the pressure in the cavity 10 to a desired degree of vacuum. Furthermore, a wafer W carrying-in / out port 85 is provided on a side wall of the cavity 10. The loading / unloading port 85 can be opened and closed by a gate valve 86. Furthermore, a deposition shield 11 is detachably provided along the inner wall of the cavity 10 to prevent the adhesion of by-products (deposits) from the etching. That is, the deposition shield 11 constitutes the cavity wall. Furthermore, the deposition shield 11 is also provided on the outer periphery of the inner wall member 26. An exhaust plate 83 is provided between the deposition shield 11 on the cavity wall side of the bottom of the cavity 10 and the deposition shield 11 on the inner wall member 26 side. In terms of the deposition shield 11 and the exhaust plate 83, it is preferable to use a ceramic material such as Y 2 O 3 coated with an aluminum material.

在沉積屏蔽罩11之構成腔體10之內壁的部分,於大致與晶圓W相同的高度部分,設有直流接地式連接的導電性構件(接地塊)91,藉此而發揮如後文所述的防止異常放電之效果。A DC grounded conductive member (grounding block) 91 is provided on a portion of the deposition shield 11 that constitutes the inner wall of the cavity 10 at a height approximately the same as that of the wafer W, thereby exerting it as described later. The effect of preventing abnormal discharge.

電漿蝕刻裝置之各構成部,係構成為連接至控制部(全體控制裝置)95並受其控制。再者,控制部95連接著鍵盤及使用者介面96;該鍵盤供製程管理者進行用以管理電漿蝕刻裝置之指令的輸入操作等;該使用者介面96係由顯示器等所構成,而將電漿處理裝置的運轉狀況可視化顯示。Each component of the plasma etching apparatus is configured to be connected to and controlled by a control unit (whole control device) 95. In addition, the control unit 95 is connected to a keyboard and a user interface 96; the keyboard is used by a process manager to perform input operations for managing a plasma etching device; the user interface 96 is composed of a display or the like, and Visual display of the operation status of the plasma processing device.

控制部95連接著儲存有控制程式及製程配方的記憶部97,該控制程式係用以透過控制部95之控制而實現以電漿蝕刻裝置執行之各種處理,該製程配方即是用以配合處理條件而使電漿蝕刻裝置之各構成部執行處理的程式。製程配方可記錄於硬碟或半導體記憶體,亦可係以容納在CDROM、DVD等可攜式之電腦可讀取記憶媒體之狀態,而設置在記憶部97之既定位置。The control section 95 is connected to a memory section 97 storing a control program and a process recipe. The control program is used to implement various processes performed by the plasma etching device through the control of the control section 95. The process recipe is used to cooperate with the process. The program that causes each component of the plasma etching apparatus to execute processing under conditions. The process recipe can be recorded on a hard disk or semiconductor memory, or it can be stored in a portable computer such as CDROM, DVD and other readable memory media, and set at a predetermined position in the memory section 97.

於電漿蝕刻裝置,係視需要而依據來自使用者介面96之指示等,從記憶部97叫出任一製程配方,使控制部95執行,而得以在控制部95之控制下,在電漿蝕刻裝置進行所要的處理。In the plasma etching device, according to the instructions from the user interface 96, etc., as needed, any process recipe is called from the memory 97 to be executed by the control unit 95, and the plasma etching can be performed under the control of the control unit 95. The device performs the required processing.

例如,控制部95控制電漿蝕刻裝置之各部,以使其執行後述之電漿蝕刻方法。茲舉一詳細例:控制部95,一邊以第1處理氣體之電漿濺射上部電極34,一邊使含有構成上部電極34之元素的沉積物,沉積於設在被處理體的「含金屬遮罩」。然後,控制部95以「沉積了含有構成上部電極34之元素的沉積物之含金屬遮罩」作為遮罩,而藉由第2處理氣體之電漿來蝕刻被處理膜。在此,所謂之被處理體,係例如晶圓W。For example, the control part 95 controls each part of a plasma etching apparatus so that it may perform the plasma etching method mentioned later. Here is a detailed example: while the control unit 95 sputters the upper electrode 34 with the plasma of the first processing gas, the deposit containing the elements constituting the upper electrode 34 is deposited on the "metal-containing shield" provided in the object to be processed. cover". Then, the control unit 95 etches the film to be processed with the “metal-containing mask on which the deposit containing the elements constituting the upper electrode 34 is deposited” as the mask, and the plasma is etched by the second processing gas. Here, the object to be processed is, for example, a wafer W.

在如此構成之電漿蝕刻裝置進行蝕刻處理之際,首先使閘閥86成為開啟狀態,再經由搬入搬出口85,而將作為蝕刻對象之晶圓W搬入腔體10內,並載置在承載盤16上。然後,以既定之流量,從處理氣體供給源66對氣體擴散室40,供給用以蝕刻之處理氣體;一方面經由氣體通流孔41及氣體釋出孔37而供給至腔體10內,一方面藉由排氣裝置84而從腔體10內排氣,使其內部的壓力達到例如0.1~150Pa之範圍內的設定値。When the plasma etching apparatus configured as described above performs an etching process, the gate valve 86 is first opened, and then the wafer W as an etching target is carried into the cavity 10 through the carrying-in and carrying-out port 85, and placed on a carrier tray. 16 on. Then, a processing gas for etching is supplied from the processing gas supply source 66 to the gas diffusion chamber 40 at a predetermined flow rate; on the one hand, it is supplied into the cavity 10 through the gas flow hole 41 and the gas release hole 37. On the other hand, the air is exhausted from the inside of the cavity 10 by the exhaust device 84 so that the pressure inside the cavity 10 reaches a setting value within a range of, for example, 0.1 to 150 Pa.

像這般在對腔體10內導入蝕刻氣體之狀態下,一邊以既定之功率,從第1高頻電源89,對於作為下部電極之承載盤16施加產生電漿用高頻電力,一邊以既定之功率,從第2高頻電源90施加引入離子用高頻電力。然後,從可變直流電源50,對上部電極34施加既定之直流電壓。更進一步地,從靜電式固定座18用的直流電源22,對靜電式固定座18的電極20施加直流電壓,以使晶圓W固定在承載盤16。With the etching gas introduced into the cavity 10 in this manner, a predetermined power is applied from the first high-frequency power source 89 to the carrier plate 16 serving as the lower electrode to generate the plasma high-frequency power while maintaining the predetermined power High-frequency power for ion introduction is applied from the second high-frequency power source 90. Then, a predetermined DC voltage is applied from the variable DC power source 50 to the upper electrode 34. Furthermore, a DC voltage is applied from the DC power source 22 for the electrostatic mount 18 to the electrodes 20 of the electrostatic mount 18 to fix the wafer W to the carrier 16.

形成在上部電極34之電極板36的氣體釋出孔37所釋出之處理氣體,於藉由高頻電力而產生在上部電極34、與作為下部電極之承載盤16之間的輝光放電當中電漿化,並以電漿所產生之自由基及離子,而蝕刻晶圓W之被處理面。The processing gas released from the gas release hole 37 formed in the electrode plate 36 of the upper electrode 34 is generated by a high-frequency power generated in a glow discharge between the upper electrode 34 and the carrier plate 16 as the lower electrode. The slurry is etched, and the processed surface of the wafer W is etched with the free radicals and ions generated by the plasma.

於電漿蝕刻裝置,由於對於作為下部電極之承載盤16,係由第1高頻電源89供給較高頻域(例如,27MHz以上)之高頻電力,因此可以在較佳狀態下使電漿高密度化;即使在更低壓之條件下,亦能形成高密度電漿。In the plasma etching apparatus, since the carrier plate 16 as the lower electrode is supplied with high-frequency power in a higher frequency range (for example, above 27 MHz) by the first high-frequency power source 89, the plasma can be made in a better state. High density; even under lower voltage conditions, high density plasma can be formed.

(第1實施形態之電漿蝕刻方法) 圖3係繪示第1實施形態之電漿蝕刻處理流程之一例的流程圖。如同下述之詳細說明,電漿蝕刻裝置,係對於依序積層有「被處理膜」、以及「具有既定圖案之含金屬遮罩」的晶圓W,執行一連串的處理。再者,於以下說明中,上部電極34係以含矽物質所形成。(Plasma Etching Method of First Embodiment) FIG. 3 is a flowchart showing an example of a plasma etching process flow of the first embodiment. As described in detail below, the plasma etching apparatus executes a series of processes on a wafer W in which a "processed film" and a "metal-containing mask having a predetermined pattern" are sequentially stacked. In the following description, the upper electrode 34 is formed of a silicon-containing substance.

又,被處理膜係例如含矽膜。含矽膜,係含有例如:SiO2 、SiOC、SiC及SiN中之至少任一種。再者,含金屬遮罩,係例如:金屬、金屬氮化物、金屬氧化物、金屬碳化物、或金屬與矽的化合物。金屬,係包含例如:鈦(Ti)、鉭(Ta)及鎢(W)中之至少任一種。金屬氮化物,係包含例如:氮化鈦(Ti3 N4 )及氮化鉭(Ta3 N5 )之至少任一種。金屬氧化物,係例如氧化鈦(TiO2 )。金屬碳化物,係例如碳化鎢(WC)。金屬與矽的化合物,係例如,二矽鎢(WSi2 )。The film to be processed is, for example, a silicon-containing film. The silicon-containing film contains, for example, at least any one of SiO 2 , SiOC, SiC, and SiN. Furthermore, the metal-containing mask is, for example, a metal, a metal nitride, a metal oxide, a metal carbide, or a compound of a metal and silicon. The metal includes, for example, at least any one of titanium (Ti), tantalum (Ta), and tungsten (W). The metal nitride includes, for example, at least any one of titanium nitride (Ti 3 N 4 ) and tantalum nitride (Ta 3 N 5 ). The metal oxide is, for example, titanium oxide (TiO 2 ). The metal carbide is, for example, tungsten carbide (WC). Compounds of metals and silicon, for example, tungsten disilicon (WSi 2 ).

回到圖3的說明。如圖3所示,電漿蝕刻裝置,一到處理時機(程序S101),就會一邊對含矽之上部電極34施加負直流電壓,一邊以第1處理氣體的電漿,對於含金屬遮罩表面,沉積含矽沉積物,以進行沉積步驟(程序S102)。第1處理氣體,含有稀有氣體。稀有氣體,係含有例如氬、氦、氙及氖之至少任一種。含矽沉積物,係含有構成上部電極34之元素的沉積物之一例。Returning to the description of FIG. 3. As shown in FIG. 3, when the plasma etching device reaches the processing timing (procedure S101), it applies a negative DC voltage to the silicon-containing upper electrode 34 while using a plasma of the first processing gas to shield the metal containing mask. On the surface, a silicon-containing deposit is deposited to perform a deposition step (procedure S102). The first processing gas contains a rare gas. The noble gas contains, for example, at least one of argon, helium, xenon, and neon. The silicon-containing deposit is an example of a deposit containing elements constituting the upper electrode 34.

圖4係繪示第1實施形態之沉積步驟的圖式。電漿蝕刻裝置的控制部95,使第1高頻電源89施加高頻電力,同時使上部電極34與可變直流電源50連接,而施加既定之直流(DC)電壓。此時,不會由第2高頻電源90施加引入離子用高頻電力。亦即,如圖4之(1)所示,控制部95在形成電漿之際,對於上部電極34,會由可變直流電源50施加既定之負直流電壓。更佳係電漿蝕刻裝置對於作為施加電極之上部電極34的表面,亦即電極板36的表面,施加來自可變直流電源50的電壓,以使電極板36表面之自我偏壓Vdc得以加深(亦即使得在上部電極34表面之Vdc的絶對値變大)至能獲得既定之(適度之)濺鍍效果的程度。並且,控制部95對腔體10內供給第1處理氣體,例如氬。FIG. 4 is a view showing a deposition step in the first embodiment. The control unit 95 of the plasma etching apparatus applies the high-frequency power to the first high-frequency power source 89 and connects the upper electrode 34 to the variable DC power source 50 to apply a predetermined direct current (DC) voltage. At this time, high-frequency power for ion introduction is not applied from the second high-frequency power source 90. That is, as shown in (1) of FIG. 4, when forming the plasma, the control unit 95 applies a predetermined negative DC voltage to the upper electrode 34 from the variable DC power source 50. More preferably, the plasma etching device applies a voltage from the variable DC power source 50 to the surface of the upper electrode 34 that is the application electrode, that is, the surface of the electrode plate 36, so that the self-bias voltage Vdc on the surface of the electrode plate 36 is deepened ( That is, the absolute value of Vdc on the surface of the upper electrode 34 is increased to such an extent that a predetermined (moderate) sputtering effect can be obtained. The control unit 95 supplies a first processing gas, such as argon, into the cavity 10.

其結果,如圖4之(1)所示,例如氬離子會轟擊電極板36之表面,而使形成電極板36的矽被濺射出來,被濺射出來之矽會降落到含金屬遮罩203。這麼一來,如圖4之(2)所示,含金屬遮罩203的表面,會沉積有含矽沉積物204。藉此,由於含金屬遮罩203的耐電漿特性會提升,因此會抑制來自含金屬遮罩203之金屬飛散,被處理膜之蝕刻就不會受到金屬化合物之阻礙。就結果而言,可以避免因為含金屬遮罩203的材料所導致之蝕刻停止。As a result, as shown in FIG. 4 (1), for example, argon ions can bombard the surface of the electrode plate 36, and the silicon forming the electrode plate 36 is sputtered, and the sputtered silicon falls to the metal-containing mask. 203. As a result, as shown in FIG. 4 (2), a silicon-containing deposit 204 is deposited on the surface of the metal-containing mask 203. Thereby, since the plasma-resistant property of the metal-containing mask 203 is improved, the scattering of the metal from the metal-containing mask 203 is suppressed, and the etching of the treated film is not hindered by the metal compound. As a result, the stop of the etching caused by the material containing the metal mask 203 can be avoided.

回到圖3的說明。接著,電漿蝕刻裝置,會以沉積有含矽沉積物的含金屬遮罩作為遮罩,藉由第2處理氣體之電漿,蝕刻被處理膜,以進行蝕刻步驟(程序S103)。第2處理氣體,係例如包含CF類氣體。CF類氣體,係例如包含C4 F6 氣體、C5 F8 氣體、C4 F8 氣體、CF4 氣體、CHF3 氣體及CH2 F2 氣體之至少任一種。Returning to the description of FIG. 3. Next, the plasma etching apparatus uses a metal-containing mask on which a silicon-containing deposit is deposited as a mask, and uses the plasma of the second processing gas to etch the processed film to perform an etching step (procedure S103). The second processing gas includes, for example, a CF-based gas. The CF-based gas includes, for example, at least one of a C 4 F 6 gas, a C 5 F 8 gas, a C 4 F 8 gas, a CF 4 gas, a CHF 3 gas, and a CH 2 F 2 gas.

(第1實施形態之效果) 若藉由上述第1實施形態,一邊對上部電極34施加負直流電壓,一邊藉由第1處理氣體之電漿,而使含矽沉積物沉積於設在被處理體的含金屬遮罩;再以沉積有含矽沉積物的含金屬遮罩作為遮罩,而藉由第2處理氣體之電漿來蝕刻被處理膜。藉此,由於含金屬遮罩的耐電漿特性會提升,因此會抑制來自含金屬遮罩之金屬飛散,被處理膜之蝕刻就不會受到金屬化合物之阻礙。就結果而言,可以避免因為含金屬遮罩的材料所導致之蝕刻停止。(Effects of the First Embodiment) In the first embodiment described above, while applying a negative DC voltage to the upper electrode 34, a silicon-containing deposit is deposited on the substrate to be processed by the plasma of the first processing gas. The metal-containing mask of the body is used as a mask, and the film to be processed is etched by the plasma of the second processing gas. Thereby, since the plasma-resistant property of the metal-containing mask is improved, the scattering of the metal from the metal-containing mask is suppressed, and the etching of the treated film is not hindered by the metal compound. As a result, the stop of the etching caused by the metal mask-containing material can be avoided.

(另一實施形態) 以上,針對第1實施形態之電漿蝕刻方法及電漿蝕刻裝置進行了說明,但所要揭露的技術並不限定於此。於下文中,將針對另一實施形態,進行說明。(Another Embodiment) Although the plasma etching method and the plasma etching apparatus according to the first embodiment have been described above, the technology to be disclosed is not limited to this. Hereinafter, another embodiment will be described.

於上述實施形態中,係以藉由對上部電極34施加負直流電壓而對於含金屬遮罩沉積含矽沉積物的情形為例說明,但所要揭露的技術並不限定於此。例如,亦可以取代對上部電極34施加負直流電壓,而改為對上部電極34施加13.56MHz以下的高頻電力,例如2MHz。或者,亦可以對下部電極16施加13.56MHz以下的高頻電力,例如2MHz。或者,亦可以對上部電極34及下部電極16,都施加13.56MHz以下的高頻電力,例如2MHz。若對上部電極34、或下部電極16、或上部電極34及下部電極16之雙方,施加上述頻域的高頻電力,即可獲得相同於對上部電極34施加負直流電壓時之濺射效果。藉此,會在含金屬遮罩,沉積含矽沉積物。In the above embodiment, a case where a silicon-containing deposit is deposited on a metal-containing mask by applying a negative DC voltage to the upper electrode 34 is taken as an example, but the technology to be disclosed is not limited thereto. For example, instead of applying a negative DC voltage to the upper electrode 34, high-frequency power below 13.56 MHz may be applied to the upper electrode 34, for example, 2 MHz. Alternatively, high-frequency power below 13.56 MHz may be applied to the lower electrode 16, for example, 2 MHz. Alternatively, both the upper electrode 34 and the lower electrode 16 may be applied with high-frequency power below 13.56 MHz, for example, 2 MHz. By applying the high-frequency power in the above frequency range to both the upper electrode 34, the lower electrode 16, or both the upper electrode 34 and the lower electrode 16, the same sputtering effect as when a negative DC voltage is applied to the upper electrode 34 can be obtained. As a result, silicon-containing deposits are deposited on the metal-containing mask.

再者,於上述實施形態,係以藉由含矽物質來形成上部電極34的情況為例,進行了說明,但所要揭露的技術並不限定於此。例如,上部電極34亦可由含有金屬之物質形成。含有金屬之物質,係例如包含釕等等以作為金屬。釕等等的金屬,係構成上部電極34之元素的一例。當上部電極34係以含有金屬之物質形成之情況下,控制部95會藉由對上部電極34施加負直流電壓,而對於含金屬遮罩,沉積含有金屬之物質。Furthermore, in the above embodiment, the case where the upper electrode 34 is formed of a silicon-containing substance is described as an example, but the technology to be disclosed is not limited to this. For example, the upper electrode 34 may be formed of a substance containing a metal. The metal-containing substance includes, for example, ruthenium as the metal. Metals such as ruthenium are examples of elements constituting the upper electrode 34. When the upper electrode 34 is formed of a metal-containing substance, the control unit 95 applies a negative DC voltage to the upper electrode 34, and deposits a metal-containing substance for the metal-containing mask.

又,當上部電極34係以含矽物質或者含有金屬之物質形成之情況下,可思及會發生以下的現象。即,「構成含金屬遮罩的原子」、與降落之「構成上部電極34的原子」結合之區域,會在含金屬遮罩、以及沉積在該含金屬遮罩的沉積物之間,形成界面;藉此,含金屬遮罩的耐電漿特性會提升。例如,使用含有矽的上部電極34之情況下,含金屬遮罩、以及沉積在該含金屬遮罩的沉積物間的界面上,會形成含有金屬矽化物的區域。When the upper electrode 34 is formed of a silicon-containing material or a metal-containing material, the following phenomena are considered to occur. That is, a region that is combined with the "atoms constituting the metal-containing mask" and the landing "atoms constituting the upper electrode 34" forms an interface between the metal-containing mask and the deposit deposited on the metal-containing mask. ; With this, the plasma-resistant properties of the metal-containing mask will be improved. For example, in a case where the upper electrode 34 containing silicon is used, a metal-containing mask and a region containing a metal silicide are formed at the interface between the deposits deposited on the metal-containing mask.

(沉積步驟及蝕刻步驟之反覆) 電漿蝕刻裝置,亦可使沉積步驟與蝕刻步驟交互反覆。藉由使沉積步驟與蝕刻步驟交互反覆,會更進一步地提升含金屬遮罩的耐電漿特性,因此會更確實地抑制來自含金屬遮罩之金屬飛散,被處理膜之蝕刻就不會受到金屬化合物之阻礙。就結果而言,可以更確實地避免因為含金屬遮罩的材料所導致之蝕刻停止。(Repeat of the deposition step and the etching step) The plasma etching device can also alternate the deposition step and the etching step. By alternating the deposition step and the etching step, the plasma-resistant characteristics of the metal-containing mask will be further improved, so the metal scattering from the metal-containing mask will be more reliably suppressed, and the etching of the treated film will not be affected by the metal. Obstacles to compounds. As a result, it is possible to more reliably avoid the stop of etching due to the material containing the metal mask.

再者,在電漿蝕刻裝置交互反覆沉積步驟與蝕刻步驟的情況下,蝕刻步驟會以比沉積步驟更長的處理時間來執行。藉此,即使在沉積步驟中,不僅是含金屬遮罩、就連被處理膜上也沉積了較大厚度之含矽沉積物,依然能在蝕刻步驟中,有效率地一併去除被處理膜、以及被處理膜上的含矽沉積物。Furthermore, in the case where the plasma etching apparatus alternates the repeated deposition step and the etching step, the etching step is performed with a longer processing time than the deposition step. With this, even in the deposition step, not only the metal-containing mask, but also the silicon-containing deposit having a larger thickness is deposited on the film to be processed, and the film to be processed can be efficiently removed together in the etching step. And silicon-containing deposits on the treated film.

(沉積步驟之處理時間) 於另一實施形態,隨著沉積步驟與蝕刻步驟的反覆次數而使得在被處理膜之既定圖案越深時,電漿蝕刻裝置亦可越增加沉積步驟之處理時間。又,被處理膜之既定圖案,包含孔洞或凹槽。以下將參照圖5,針對在沉積步驟增加處理時間的情況,進行更進一步的說明。(Processing time of the deposition step) In another embodiment, as the number of iterations of the deposition step and the etching step is repeated, the deeper the predetermined pattern of the film to be processed, the plasma etching device can also increase the processing time of the deposition step. The predetermined pattern of the film to be treated includes holes or grooves. Hereinafter, referring to FIG. 5, a further description will be given for a case where the processing time is increased in the deposition step.

圖5係繪示反覆沉積步驟與蝕刻步驟之情況下,各步驟執行後之晶圓W剖面之一例的圖式。在此,係以沉積步驟與蝕刻步驟反覆3次之情況為例,進行說明。再者,電漿蝕刻裝置,係對於依序積層有被處理膜301、以及具有既定圖案的含金屬遮罩302的晶圓W,執行一連串的處理。FIG. 5 is a diagram illustrating an example of a cross section of a wafer W after each step is performed in the case of an overlying deposition step and an etching step. Here, a case where the deposition step and the etching step are repeated three times is taken as an example for description. In addition, the plasma etching apparatus executes a series of processes on a wafer W in which a film to be processed 301 and a metal mask 302 having a predetermined pattern are sequentially laminated.

首先,電漿蝕刻裝置,執行第1次的沉積步驟。執行第1次沉積步驟後的晶圓W之剖面,會是例如以圖5的(a-1)所示之狀態。亦即,藉由執行第1次的沉積步驟,會在含金屬遮罩302的表面,沉積含矽沉積物303a。First, a plasma etching apparatus performs a first deposition step. The cross section of the wafer W after the first deposition step is performed is, for example, in a state shown in FIG. 5 (a-1). That is, by performing the first deposition step, a silicon-containing deposit 303a is deposited on the surface of the metal-containing mask 302.

接著,電漿蝕刻裝置執行第1次的蝕刻步驟。執行第1次蝕刻步驟後的晶圓W之剖面,會是例如圖5的(b-1)所示之狀態。亦即,藉由執行第1次的蝕刻步驟,會在被處理膜301形成既定圖案304。Next, the plasma etching apparatus performs a first etching step. The cross section of the wafer W after the first etching step is performed is, for example, a state shown in FIG. 5 (b-1). That is, by performing the first etching step, a predetermined pattern 304 is formed on the film 301 to be processed.

接著,電漿蝕刻裝置就執行第2次的沉積步驟。電漿蝕刻裝置所進行之處理,係第2次沉積步驟之處理時間,比第1次沉積步驟之處理時間更長。執行第2次沉積步驟後的晶圓W之剖面,會是例如圖5的(a-2)所示之狀態。亦即,藉由使所進行之處理,係第2次沉積步驟之處理時間,比第1次沉積步驟之處理時間更長,而在含金屬遮罩302的表面,沉積出比含矽沉積物303a更厚的含矽沉積物303b。Next, the plasma etching apparatus performs a second deposition step. The processing performed by the plasma etching apparatus is the processing time of the second deposition step, which is longer than that of the first deposition step. The cross section of the wafer W after the second deposition step is performed will be, for example, a state shown in (a-2) of FIG. 5. That is, the processing time of the second deposition step is made longer than that of the first deposition step, and the surface of the metal-containing mask 302 is deposited more than silicon-containing deposits. 303a Thicker silicon-containing deposits 303b.

接著,電漿蝕刻裝置執行第2次的蝕刻步驟。執行第2次蝕刻步驟後的晶圓W之剖面,會是例如圖5的(b-2)所示之狀態。亦即,藉由執行第2次的蝕刻步驟,而使形成在被處理膜301的既定圖案304變得更深。Next, the plasma etching apparatus performs a second etching step. The cross section of the wafer W after the second etching step is performed is, for example, a state shown in FIG. 5 (b-2). That is, by performing the second etching step, the predetermined pattern 304 formed on the film 301 to be processed becomes deeper.

接著,電漿蝕刻裝置執行第3次的沉積步驟。此時,電漿蝕刻裝置所進行之處理,係使第3次沉積步驟之處理時間,比第2次沉積步驟之處理時間更長。執行第3次沉積步驟後的晶圓W之剖面,會是例如圖5的(a-3)所示之狀態。亦即,藉由使所進行之處理,係第3次沉積步驟之處理時間,比第2次沉積步驟之處理時間更長,而在含金屬遮罩302的表面,沉積出比含矽沉積物303b更厚的含矽沉積物303c。Next, the plasma etching apparatus performs a third deposition step. At this time, the processing performed by the plasma etching apparatus is such that the processing time of the third deposition step is longer than that of the second deposition step. The cross section of the wafer W after the third deposition step is performed will be, for example, a state shown in FIG. 5 (a-3). That is, the processing time of the third deposition step is made longer than that of the second deposition step, and the surface of the metal-containing mask 302 is deposited more than silicon-containing deposits 303b Thicker silicon-containing deposits 303c.

接著,電漿蝕刻裝置執行第3次的蝕刻步驟。執行第3次蝕刻步驟後的晶圓W之剖面,會是例如圖5的(b-3)所示之狀態。亦即,藉由執行第3次的蝕刻步驟,而使形成在被處理膜301的既定圖案304變得更深。Next, the plasma etching apparatus performs a third etching step. The cross section of the wafer W after the third etching step is performed is, for example, a state shown in (b-3) of FIG. 5. That is, by performing the third etching step, the predetermined pattern 304 formed on the film 301 to be processed becomes deeper.

像這般,隨著沉積步驟與蝕刻步驟的反覆次數而使得形成於被處理膜之孔洞或凹槽越深時,就越加長沉積步驟的處理時間,藉此而可以在含金屬遮罩上形成很厚的含矽沉積物。其結果,由於含金屬遮罩相對於被處理膜之耐電漿特性會提升,因此可以更確實地避免因為含金屬遮罩的材料所導致之蝕刻停止。再者,由於形成於被處理膜之既定圖案若是越深,則能夠到達既定圖案之底部的含矽沉積物的量會越少,所以即使加長沉積步驟之處理時間,也能避免既定圖案的脫模性(release property)之降低。Like this, as the number of iterations of the deposition step and the etching step makes the holes or grooves formed in the film to be processed deeper, the processing time of the deposition step is lengthened, thereby forming on the metal-containing mask Very thick silicon-containing deposits. As a result, since the plasma-resistant characteristics of the metal-containing mask with respect to the film to be processed are improved, it is possible to more reliably prevent the etching stop caused by the material of the metal-containing mask. Furthermore, the deeper the predetermined pattern formed on the film to be processed, the smaller the amount of silicon-containing deposits that can reach the bottom of the predetermined pattern. Therefore, even if the processing time of the deposition step is lengthened, the predetermined pattern can be prevented from coming off. Decrease in release property.

(於沉積步驟,施加在上部電極之負直流電壓) 於另一實施形態,隨著沉積步驟與蝕刻步驟的反覆次數而使得形成於被處理膜之既定圖案越深時,電漿蝕刻裝置亦可越增加沉積步驟中施加於上部電極34之負直流電壓之絶對値。在此情況下,轟擊在上部電極34之離子能量會加大,而使上部電極34所含有之矽的濺出量加大,而加大濺射出來之矽在含金屬遮罩表面的降落量。藉此,可以使含金屬遮罩上的矽沉積物緩緩地增厚。其結果,由於含金屬遮罩相對於被處理膜之耐電漿特性會提升,所以可以更確實地避免因為含金屬遮罩的材料所導致之蝕刻停止。(In the deposition step, a negative DC voltage is applied to the upper electrode.) In another embodiment, as the predetermined number of iterations of the deposition step and the etching step is made deeper, the plasma etching device can also be used. The absolute value of the negative DC voltage applied to the upper electrode 34 in the deposition step is increased more. In this case, the ion energy that bombards the upper electrode 34 will increase, so that the amount of silicon splashed out of the upper electrode 34 will increase, and the amount of silicon splashed out on the surface of the metal-containing mask will increase. . Thereby, the silicon deposit on the metal-containing mask can be gradually thickened. As a result, since the plasma-resistant characteristics of the metal-containing mask with respect to the film to be processed are improved, it is possible to more reliably avoid stopping the etching due to the material of the metal-containing mask.

(沉積步驟中的壓力) 於另一實施形態,隨著沉積步驟與蝕刻步驟的反覆次數而使得形成於被處理膜之既定圖案越深時,電漿蝕刻裝置亦可越增加沉積步驟中的壓力。在此情況下,相較於未變更壓力的情況,轟擊至上部電極34的離子通量會加大,上部電極34所含有的矽之濺出量會加大,濺射出來之矽降落至含金屬遮罩表面的量會加大。藉此,可以使含金屬遮罩上的矽沉積物加厚。其結果,由於含金屬遮罩相對於被處理膜的耐電漿特性會提升,所以可以更確實地避免因為含金屬遮罩的材料所導致之蝕刻停止。(Pressure in the deposition step) In another embodiment, as the predetermined pattern formed on the film to be processed is deeper with the number of iterations of the deposition step and the etching step, the plasma etching apparatus may increase the pressure in the deposition step. . In this case, compared with the case where the pressure is not changed, the ion flux bombarded to the upper electrode 34 will increase, the amount of silicon splashed out of the upper electrode 34 will increase, and the sputtered silicon will fall to The amount of metal mask surface will increase. As a result, the silicon deposits on the metal-containing mask can be thickened. As a result, since the plasma-resistant characteristic of the metal-containing mask with respect to the film to be processed is improved, it is possible to more reliably avoid the stop of etching due to the material of the metal-containing mask.

(蝕刻步驟中之引入離子用高頻電力) 於另一實施形態,隨著沉積步驟與蝕刻步驟的反覆次數而使得形成於被處理膜之既定圖案越深時,電漿蝕刻裝置亦可越增加蝕刻步驟中之引入離子用高頻電力。以下將參照圖6,針對增加蝕刻步驟中之引入離子用高頻電力的一例,進行說明。(High-frequency power for introducing ions in the etching step) In another embodiment, as the number of iterations of the deposition step and the etching step makes the predetermined pattern formed on the film to be processed deeper, the plasma etching device can also be increased. High frequency power for introducing ions in the etching step. An example of adding high-frequency power for ion introduction in the etching step will be described below with reference to FIG. 6.

圖6係繪示反覆沉積步驟與蝕刻步驟之情況下,各步驟執行後之晶圓W剖面之另一例的圖式。在此,係以沉積步驟與蝕刻步驟反覆3次之情況為例,進行說明。再者,電漿蝕刻裝置,係對於依序積層有被處理膜301、以及具有既定圖案的含金屬遮罩302的晶圓W,執行一連串的處理。FIG. 6 is a diagram illustrating another example of a wafer W cross-section after each step is performed in the case of the repeated deposition step and the etching step. Here, a case where the deposition step and the etching step are repeated three times is taken as an example for description. In addition, the plasma etching apparatus executes a series of processes on a wafer W in which a film to be processed 301 and a metal mask 302 having a predetermined pattern are sequentially laminated.

首先,電漿蝕刻裝置,執行第1次的沉積步驟。執行第1次沉積步驟後的晶圓W之剖面,會是例如以例如圖6的(a-1)所示之狀態。亦即,藉由執行第1次的沉積步驟,會在含金屬遮罩302的表面,沉積含矽沉積物303a。First, a plasma etching apparatus performs a first deposition step. The cross section of the wafer W after the first deposition step is performed is, for example, in a state shown in (a-1) of FIG. 6. That is, by performing the first deposition step, a silicon-containing deposit 303a is deposited on the surface of the metal-containing mask 302.

接著,電漿蝕刻裝置執行第1次的蝕刻步驟。執行第1次蝕刻步驟後的晶圓W之剖面,會是例如圖6的(b-1)所示之狀態。亦即,藉由執行第1次的蝕刻步驟,會在被處理膜301形成既定圖案304。Next, the plasma etching apparatus performs a first etching step. The cross-section of the wafer W after the first etching step is performed is, for example, a state shown in FIG. 6 (b-1). That is, by performing the first etching step, a predetermined pattern 304 is formed on the film 301 to be processed.

接著,電漿蝕刻裝置就執行第2次的沉積步驟。電漿蝕刻裝置所進行之處理,係第2次沉積步驟之處理時間,比第1次沉積步驟之處理時間更長。執行第2次沉積步驟後的晶圓W之剖面,會是例如圖6的(a-2)所示之狀態。亦即,藉由使所進行之處理,係第2次沉積步驟之處理時間,比第1次沉積步驟之處理時間更長,而在含金屬遮罩302的表面,沉積出比含矽沉積物303a更厚的含矽沉積物303b。Next, the plasma etching apparatus performs a second deposition step. The processing performed by the plasma etching apparatus is the processing time of the second deposition step, which is longer than that of the first deposition step. The cross-section of the wafer W after the second deposition step is performed is, for example, a state shown in (a-2) of FIG. 6. That is, the processing time of the second deposition step is made longer than that of the first deposition step, and the surface of the metal-containing mask 302 is deposited more than silicon-containing deposits. 303a Thicker silicon-containing deposits 303b.

接著,電漿蝕刻裝置執行第2次的蝕刻步驟。此時,相較於第1次的蝕刻步驟中之引入離子用高頻電力,電漿蝕刻裝置會增加第2次的蝕刻步驟中之引入離子用高頻電力。執行第2次蝕刻步驟後的晶圓W之剖面,會是例如圖6的(b-2)所示之狀態。亦即,藉由執行第2次的蝕刻步驟,而使形成在被處理膜301的既定圖案304變得更深。再者,藉由增加第2次的蝕刻步驟中之引入離子用高頻電力,而使入射至既定圖案304的離子之能量增加。藉此,會提升入射至既定圖案304的離子之直進性。Next, the plasma etching apparatus performs a second etching step. At this time, compared with the high-frequency power for introducing ions in the first etching step, the plasma etching apparatus increases the high-frequency power for introducing ions in the second etching step. The cross section of the wafer W after the second etching step is performed is, for example, a state shown in FIG. 6 (b-2). That is, by performing the second etching step, the predetermined pattern 304 formed on the film 301 to be processed becomes deeper. Furthermore, by increasing the high-frequency power for introducing ions in the second etching step, the energy of ions incident on the predetermined pattern 304 is increased. As a result, the linearity of the ions incident on the predetermined pattern 304 is improved.

接著,電漿蝕刻裝置執行第3次的沉積步驟。此時,電漿蝕刻裝置所進行之處理,係使第3次沉積步驟之處理時間,比第2次沉積步驟之處理時間更長。執行第3次沉積步驟後的晶圓W之剖面,會是例如圖6的(a-3)所示之狀態。亦即,藉由使所進行之處理,係第3次沉積步驟之處理時間,比第2次沉積步驟之處理時間更長,而在含金屬遮罩302的表面,沉積出比含矽沉積物303b更厚的含矽沉積物303c。Next, the plasma etching apparatus performs a third deposition step. At this time, the processing performed by the plasma etching apparatus is such that the processing time of the third deposition step is longer than that of the second deposition step. The cross section of the wafer W after the third deposition step is performed will be, for example, a state shown in (a-3) of FIG. 6. That is, the processing time of the third deposition step is made longer than that of the second deposition step, and the surface of the metal-containing mask 302 is deposited more than silicon-containing deposits 303b Thicker silicon-containing deposits 303c.

接著,電漿蝕刻裝置執行第3次的蝕刻步驟。此時,相較於第2次的蝕刻步驟中之引入離子用高頻電力,電漿蝕刻裝置會增加第3次的蝕刻步驟中之引入離子用高頻電力。執行第3次蝕刻步驟後的晶圓W之剖面,會是例如圖6的(b-3)所示之狀態。亦即,藉由執行第3次的蝕刻步驟,而使被處理膜301的既定圖案304變得更深。再者,藉由使第3次的蝕刻步驟中之引入離子用高頻電力更進一步地增加,而會更進一步地增加入射至既定圖案304的離子之能量。藉此,會提升入射至既定圖案304的離子之直進性。Next, the plasma etching apparatus performs a third etching step. At this time, compared with the high-frequency power for introducing ions in the second etching step, the plasma etching apparatus increases the high-frequency power for introducing ions in the third etching step. The cross section of the wafer W after the third etching step is performed will be, for example, a state shown in FIG. 6 (b-3). That is, by performing the third etching step, the predetermined pattern 304 of the film 301 to be processed becomes deeper. Furthermore, by increasing the high-frequency power for introducing ions in the third etching step, the energy of ions incident on the predetermined pattern 304 is further increased. As a result, the linearity of the ions incident on the predetermined pattern 304 is improved.

像這般,若形成於被處理膜之既定圖案越深,就越增加蝕刻步驟中之引入離子用高頻電力,藉此而會提升入射至既定圖案的離子之直進性。此時,由於已增加含金屬遮罩上的含矽沉積物之厚度,而能使含金屬遮罩相對於被處理膜的耐電漿特性在不惡化的情況下,就可減少衝撞至既定圖案之側壁的斜向入射離子。就結果而言,可以在抑制來自含金屬遮罩之金屬飛散的同時,還抑制既定圖案的形狀變成酒桶型的弓形彎曲(Bowing)、或是既定圖案的形狀在途中變型的折曲(bending)之發生。As such, if the predetermined pattern formed on the film to be processed is deeper, the high-frequency power for introducing ions in the etching step is increased, thereby improving the straightness of ions incident on the predetermined pattern. At this time, since the thickness of the silicon-containing deposits on the metal-containing mask has been increased, the plasma-resistant characteristics of the metal-containing mask relative to the film to be treated can be reduced without deteriorating the impact on the predetermined pattern. Obliquely incident ions on the sidewall. As a result, while suppressing the scattering of metal from a metal-containing mask, it is possible to suppress the shape of a predetermined pattern from becoming a bow shape of a wine barrel or bending of the shape of a predetermined pattern on the way. ).

(蝕刻步驟中之引入離子用高頻電力的頻率) 於另一實施形態,隨著沉積步驟與蝕刻步驟的反覆次數而使得形成於被處理膜之既定圖案越深時,電漿蝕刻裝置亦可越降低蝕刻步驟中之引入離子用高頻電力的頻率。在此情況下,入射至形成於被處理膜之既定圖案的離子之能量會增加。藉此,入射至既定圖案的離子之直進性會提升。此時,由於已增加含金屬遮罩上的含矽沉積物之厚度,而能使含金屬遮罩相對於被處理膜的耐電漿特性在不惡化的情況下,就可減少衝撞至既定圖案之側壁的斜向入射離子。就結果而言,可以在抑制來自含金屬遮罩之金屬飛散的同時,還抑制既定圖案的形狀變成酒桶型的弓形彎曲、或是既定圖案的形狀在途中變型的折曲之發生。(Frequency of high-frequency power for introducing ions in the etching step) In another embodiment, the plasma etching device can also be used as the predetermined pattern formed on the film to be processed becomes deeper with the number of iterations of the deposition step and the etching step. The lower the frequency of the high-frequency power for introducing ions in the etching step. In this case, the energy of ions incident on a predetermined pattern formed on the film to be processed increases. As a result, the linearity of ions incident on a predetermined pattern is improved. At this time, since the thickness of the silicon-containing deposits on the metal-containing mask has been increased, the plasma-resistant characteristics of the metal-containing mask relative to the film to be treated can be reduced without deteriorating the impact on the predetermined pattern. Obliquely incident ions on the sidewall. As a result, while suppressing the scattering of the metal from the metal-containing mask, it is also possible to suppress the occurrence of buckling in which the shape of the predetermined pattern becomes a bow-shaped bend of the wine barrel shape, or the shape of the predetermined pattern is deformed on the way.

(蝕刻步驟中之壓力) 於另一實施形態,隨著沉積步驟與蝕刻步驟的反覆次數而使得在被處理膜的既定圖案越深時,電漿蝕刻裝置亦可越減少蝕刻步驟中之壓力。藉此,入射至既定圖案的離子之直進性會提升。此時,由於已增加含金屬遮罩上的含矽沉積物之厚度,而能使含金屬遮罩相對於被處理膜的耐電漿特性在不惡化的情況下,就可減少衝撞至既定圖案之側壁的斜向入射離子。就結果而言,相同於增加蝕刻步驟中之引入離子用高頻電力的情況,可以在抑制來自含金屬遮罩之金屬飛散的同時,還抑制既定圖案的形狀變成酒桶型的弓形彎曲、或是既定圖案的形狀在途中變型的折曲之發生。(Pressure in the etching step) In another embodiment, as the number of iterations of the deposition step and the etching step is repeated, the deeper the predetermined pattern of the film to be processed, the plasma etching device can also reduce the pressure in the etching step. As a result, the linearity of ions incident on a predetermined pattern is improved. At this time, since the thickness of the silicon-containing deposits on the metal-containing mask has been increased, the plasma-resistant characteristics of the metal-containing mask relative to the film to be treated can be reduced without deteriorating the impact on the predetermined pattern. Obliquely incident ions on the sidewall. As a result, similar to the case of increasing the high-frequency power for introducing ions in the etching step, it is possible to suppress the scattering of the metal from the metal-containing mask while suppressing the shape of the predetermined pattern to become a bow-shaped bend of the barrel shape, or It is the occurrence of bending that deforms the shape of a given pattern on the way.

(氧化步驟) 於另一實施形態,電漿蝕刻裝置亦可在沉積步驟與蝕刻步驟之間,更進一步地執行如下的氧化步驟:使沉積於含金屬遮罩的含矽沉積物,表面藉由含氧氣體的電漿而氧化,以形成氧化區域。在此情況下,電漿蝕刻裝置在蝕刻步驟中,藉由第2處理氣體之電漿而蝕刻被處理膜的同時,就會去除氧化區域。含氧氣體,係包含例如:O2 、CO2 及CO中之至少任一種。以下將參照圖7,針對在沉積步驟與蝕刻步驟之間執行氧化步驟的情況,更進一步地進行說明。(Oxidation step) In another embodiment, the plasma etching device may further perform the following oxidation step between the deposition step and the etching step: the silicon-containing deposit deposited on the metal-containing mask, The plasma of the oxygen-containing gas is oxidized to form an oxidized region. In this case, the plasma etching apparatus removes the oxidized area while etching the film to be processed by the plasma of the second processing gas in the etching step. The oxygen-containing gas includes, for example, at least any one of O 2 , CO 2 and CO. Hereinafter, a case where the oxidation step is performed between the deposition step and the etching step will be further described with reference to FIG. 7.

圖7係繪示在沉積步驟與蝕刻步驟之間執行氧化步驟之情況下,各步驟執行後之晶圓W剖面之一例的圖式。在此,電漿蝕刻裝置,係對於依序積層有被處理膜401、以及具有既定圖案之含金屬遮罩402的晶圓W,執行一連串的處理。又,被處理膜401設定為係已形成有既定圖案404者。FIG. 7 is a diagram showing an example of a cross-section of a wafer W after each step is performed when an oxidation step is performed between a deposition step and an etching step. Here, the plasma etching apparatus executes a series of processes on a wafer W in which a film to be processed 401 and a metal-containing mask 402 having a predetermined pattern are sequentially stacked. In addition, the to-be-processed film 401 is set as a thing with the predetermined pattern 404 formed.

首先,電漿蝕刻裝置執行沉積步驟。執行沉積步驟後的晶圓W之剖面,係例如圖7的(a)所示之狀態。亦即,藉由執行沉積步驟,會在含金屬遮罩402的表面,沉積含矽沉積物403。此外,於含金屬遮罩402的圖案之開口部,附著有含矽沉積物403一部分。在此,於含矽沉積物403之中,若附著在含金屬遮罩402的圖案之開口部的部分很厚,則有在含金屬遮罩402的圖案之開口部發生閉塞之虞。First, a plasma etching apparatus performs a deposition step. The cross section of the wafer W after the deposition step is performed is, for example, a state shown in FIG. 7 (a). That is, by performing a deposition step, a silicon-containing deposit 403 is deposited on the surface of the metal-containing mask 402. In addition, a part of the silicon-containing deposit 403 is attached to the opening of the pattern of the metal-containing mask 402. Here, if the portion of the silicon-containing deposit 403 attached to the opening portion of the pattern containing the metal mask 402 is thick, the opening portion of the pattern containing the metal mask 402 may be blocked.

接著,電漿蝕刻裝置,就使用O2 電漿,來執行氧化步驟。執行氧化步驟後的晶圓W之剖面,會是例如圖7的(b)所示之狀態。亦即,含矽沉積物403的表面氧化,而形成氧化區域403a。Next, the plasma etching apparatus uses an O 2 plasma to perform the oxidation step. The cross section of the wafer W after the oxidation step is performed will be, for example, a state shown in FIG. 7 (b). That is, the surface of the silicon-containing deposit 403 is oxidized to form an oxidized region 403a.

接著,電漿蝕刻裝置執行蝕刻步驟。執行蝕刻步驟後的晶圓W之剖面,會是例如圖7的(c)所示之狀態。亦即,藉由執行蝕刻步驟,被處理膜401的既定圖案會更深,並從含矽沉積物403去除氧化區域403a。藉此,含矽沉積物403之中,附著在含金屬遮罩402的圖案之開口部的部分會變薄。Next, the plasma etching apparatus performs an etching step. The cross-section of the wafer W after the etching step is performed is, for example, a state shown in FIG. 7 (c). That is, by performing the etching step, the predetermined pattern of the film 401 to be processed is deeper, and the oxidized region 403 a is removed from the silicon-containing deposit 403. As a result, the portion of the silicon-containing deposit 403 attached to the opening portion of the pattern of the metal-containing mask 402 becomes thin.

像這般,藉由使含金屬遮罩上的含矽沉積物之表面氧化而形成氧化區域,並在蝕刻被處理膜時去除氧化區域,而可以使含矽沉積物當中,附著在含金屬遮罩的圖案之開口部的部分變薄。就結果而言,可以抑制含金屬遮罩的圖案之開口部的閉塞。Like this, by oxidizing the surface of the silicon-containing deposit on the metal-containing mask to form an oxidized region, and removing the oxidized region when etching the film to be processed, the silicon-containing deposit can be attached to the metal-containing mask. A portion of the opening portion of the pattern of the cover becomes thinner. As a result, the blocking of the opening portion of the pattern including the metal mask can be suppressed.

(沉積步驟、氧化步驟及蝕刻步驟之反覆) 於另一實施形態,電漿蝕刻裝置亦可依序反覆沉積步驟、氧化步驟、及蝕刻步驟。在此情況下,會使含矽沉積物當中,附著在含金屬遮罩的圖案之開口部的部分變薄,並且在提升含金屬遮罩的耐電漿特性的同時,進行蝕刻。就結果而言,可以更穩定地迎制含金屬遮罩的圖案之開口部的閉塞,並且更確實地避免因為含金屬遮罩的材料所導致之蝕刻停止。(Repeat of Deposition Step, Oxidation Step, and Etching Step) In another embodiment, the plasma etching apparatus may sequentially repeat the deposition step, the oxidation step, and the etching step. In this case, a portion of the silicon-containing deposit that adheres to the opening portion of the pattern containing the metal mask is thinned, and the plasma-resistant property of the metal-containing mask is improved while being etched. As a result, it is possible to more stably meet the occlusion of the openings of the pattern containing the metal mask, and to more reliably avoid stopping the etching due to the material containing the metal mask.

(氧化步驟中之處理時間) 於另一實施形態,隨著沉積步驟、氧化步驟、及蝕刻步驟之反覆次數而使得形成於被處理膜之既定圖案越深時,電漿蝕刻裝置亦可越加長氧化步驟中之處理時間。在此情況下,可以配合含金屬遮罩上的含矽沉積物之厚度,而階段性地加厚氧化區域,並且可以在蝕刻被處理膜時適當地去除氧化區域。就結果而言,即使係依序反覆沉積步驟、氧化步驟、及蝕刻步驟的情況下,亦得以抑制含金屬遮罩的圖案之開口部的閉塞。(Processing Time in the Oxidation Step) In another embodiment, as the predetermined number of iterations of the deposition film, the oxidation step, and the etching step is made deeper, the plasma etching device can be lengthened. Treatment time in the oxidation step. In this case, the oxidized area can be thickened in stages in accordance with the thickness of the silicon-containing deposit on the metal-containing mask, and the oxidized area can be appropriately removed when the film to be processed is etched. As a result, even in the case where the deposition step, the oxidation step, and the etching step are sequentially repeated, the blocking of the opening portion of the pattern including the metal mask can be suppressed.

(氧化步驟中之壓力) 於另一實施形態,隨著沉積步驟、氧化步驟、及蝕刻步驟的反覆次數而使得形成於被處理膜之既定圖案越深時,電漿蝕刻裝置亦可越增加氧化步驟中之壓力。在此情況下,可以配合含金屬遮罩上的含矽沉積物之厚度,而階段地加厚氧化區域,並且可以在蝕刻被處理膜時適當地去除氧化區域。就結果而言,即使係依序反覆沉積步驟、氧化步驟、及蝕刻步驟的情況下,亦得以抑制含金屬遮罩的圖案之開口部的閉塞。(Pressure in the oxidation step) In another embodiment, as the deposition pattern, the oxidation step, and the etching step are repeated, the deeper the predetermined pattern formed on the film to be processed, the more the plasma etching device can increase the oxidation. The pressure in the steps. In this case, the oxidized area can be thickened in stages in accordance with the thickness of the silicon-containing deposit on the metal-containing mask, and the oxidized area can be appropriately removed when etching the film to be processed. As a result, even in the case where the deposition step, the oxidation step, and the etching step are sequentially repeated, the blocking of the opening portion of the pattern including the metal mask can be suppressed.

(氧化步驟中之產生電漿用高頻電力) 於另一實施形態,隨著沉積步驟、氧化步驟、及蝕刻步驟的反覆次數而使得形成於被處理膜之既定圖案越深時,電漿蝕刻裝置亦可越增加氧化步驟中之產生電漿用高頻電力。在此情況下,可以配合含金屬遮罩上的含矽沉積物之厚度,而階段地加厚氧化區域,並且可以在蝕刻被處理膜時適當地去除氧化區域。就結果而言,即使係依序反覆沉積步驟、氧化步驟、及蝕刻步驟的情況下,亦得以抑制含金屬遮罩的圖案之開口部的閉塞。(High-frequency power for plasma generation in the oxidation step) In another embodiment, as the predetermined number of iterations of the deposition step, the oxidation step, and the etching step makes the predetermined pattern formed on the film to be processed deeper, the plasma etching is performed. The device can also increase the high-frequency power for plasma generation in the oxidation step. In this case, the oxidized area can be thickened in stages in accordance with the thickness of the silicon-containing deposit on the metal-containing mask, and the oxidized area can be appropriately removed when the film to be processed is etched. As a result, even in the case where the deposition step, the oxidation step, and the etching step are sequentially repeated, the blocking of the opening portion of the pattern including the metal mask can be suppressed.

以下將針對所揭露的電漿蝕刻方法,舉出實施例以更進一步地進行詳細說明。但是,所揭露的電漿蝕刻方法,並不限定於下述實施例。Hereinafter, the disclosed plasma etching method will be described in more detail with examples. However, the disclosed plasma etching method is not limited to the following embodiments.

(比較例1) 於比較例1,係對於被處理體,進行了蝕刻步驟。被處理體,係使用具有下述構造的測試用晶片。蝕刻步驟,係使用下述條件來進行。 (被處理體) 被處理膜:SiO2 膜 含金屬遮罩:氮化鈦(Ti3 N4 ) (蝕刻步驟) 處理氣體:C4 F6 /Ar/O2 =5/950/4sccm 壓力:2.7Pa(20mTorr) 來自第1高頻電源的高頻電力:100W 來自第2高頻電源的高頻電力:150W 供給至上部電極的直流電壓:-300V 處理時間:600秒(Comparative Example 1) In Comparative Example 1, an etching step was performed on the object to be processed. The object to be processed was a test wafer having the following structure. The etching step is performed using the following conditions. (Processed object) Processed film: SiO 2 film with metal mask: Titanium nitride (Ti 3 N 4 ) (etching step) Process gas: C 4 F 6 / Ar / O 2 = 5/950 / 4sccm Pressure: 2.7Pa (20mTorr) High-frequency power from the first high-frequency power supply: 100W High-frequency power from the second high-frequency power supply: 150W DC voltage supplied to the upper electrode: -300V Processing time: 600 seconds

(實施例1) 於實施例1,係對於被處理體,先進行了沉積含矽沉積物之沉積步驟,才進行蝕刻步驟;並且交互反覆沉積步驟與蝕刻步驟50次。被處理體係使用具有與比較例1相同之構造者。沉積步驟則係用以下條件進行。蝕刻步驟,除了採用以下所示之處理時間這一點以外,皆使用相同於比較例1的條件來進行。 (沉積步驟) 處理氣體:Ar=800sccm 壓力:6.7Pa(50mTorr) 來自第1高頻電源的高頻電力:300W 來自第2高頻電源的高頻電力:0W 供給至上部電極的直流電壓:-900V 處理時間:5秒 (蝕刻步驟) 處理時間:10秒(Example 1) In Example 1, for the object to be processed, a deposition step for depositing a silicon-containing deposit was performed before an etching step was performed; and an alternating iterative deposition step and an etching step were performed 50 times. As the system to be treated, a structure having the same structure as that of Comparative Example 1 was used. The deposition step was performed under the following conditions. The etching step was performed under the same conditions as in Comparative Example 1 except that the processing time shown below was used. (Deposition step) Process gas: Ar = 800sccm Pressure: 6.7Pa (50mTorr) High-frequency power from the first high-frequency power supply: 300W High-frequency power from the second high-frequency power supply: 0W DC voltage to the upper electrode:- 900V processing time: 5 seconds (etching step) processing time: 10 seconds

圖8係繪示比較例1及實施例1之處理結果的圖式。於圖8中,「Conv. Etch 600秒」,係代表比較例1中之進行過蝕刻步驟後的被處理體。再者,「Si coat+Conv. Etch 5秒+10秒,50循環」,係代表實施例1中之交互反覆沉積步驟與蝕刻步驟50次後的被處理體。又,圖中之「剖面」,係放大被處理體之剖面而得之照片的描繪圖(traced drawing)。FIG. 8 is a diagram showing the processing results of Comparative Example 1 and Example 1. FIG. In FIG. 8, “Conv. Etch 600 seconds” represents the object after the etching step in Comparative Example 1. In addition, "Si coat + Conv. Etch 5 seconds + 10 seconds, 50 cycles" represents the object after 50 times of the alternating iterative deposition step and the etching step in Example 1. The “cross section” in the figure is a traced drawing of a photograph obtained by enlarging the cross section of the object to be processed.

再者,於圖8中,「SiO2 Depth」係代表形成在SiO2 膜的蝕刻孔洞之深度。Furthermore, in FIG. 8, “SiO 2 Depth” represents the depth of the etched holes formed in the SiO 2 film.

如圖8所示,於比較例1,發生了因為含金屬遮罩所導致之蝕刻停止。相對於此,於實施例1,蝕刻孔洞的深度係「293nm」,滿足預先設定之容許規格。As shown in FIG. 8, in Comparative Example 1, the etching stoppage due to the metal-containing mask occurred. On the other hand, in Example 1, the depth of the etching hole is "293 nm", which satisfies a preset allowable specification.

像這般,從實施例1與比較例1之比較可知,在實施例1,可以藉由沉積含矽沉積物,而避免發生因為含金屬遮罩的材料所導致之蝕刻停止。As can be seen from the comparison between Example 1 and Comparative Example 1, in Example 1, it is possible to avoid the stop of the etching caused by the material containing the metal mask by depositing a silicon-containing deposit.

又,在連續性地處理複數之被處理體的情況下,可思及會由於濺射上部電極34,而使濺射出來之原子累積性地附著在腔體10之內壁。因此,亦可在每處理1片或1批次之被處理體時,實施去除腔體10之內壁的附著物之清潔處理。In the case where a plurality of objects to be processed are continuously processed, it is conceivable that the sputtered atoms are accumulated on the inner wall of the cavity 10 due to the sputtering of the upper electrode 34. Therefore, it is also possible to perform a cleaning process to remove the adhered matter on the inner wall of the cavity 10 every time one piece or one batch of objects to be processed is processed.

10‧‧‧腔體10‧‧‧ Cavity

10a‧‧‧接地導體10a‧‧‧ ground conductor

11‧‧‧沉積屏蔽罩11‧‧‧ Deposition shield

12‧‧‧絶緣板12‧‧‧ Insulation board

14‧‧‧承載盤支持台14‧‧‧ Carrier tray support desk

16‧‧‧承載盤16‧‧‧carriage tray

18‧‧‧靜電式固定座18‧‧‧ Electrostatic Mount

20‧‧‧電極20‧‧‧ electrode

22‧‧‧直流電源22‧‧‧DC Power

24‧‧‧聚焦環24‧‧‧Focus Ring

26‧‧‧內壁構件26‧‧‧Inner wall members

28‧‧‧冷媒室28‧‧‧Refrigerant Room

30a、30b‧‧‧配管30a, 30b‧‧‧Piping

32‧‧‧氣體供給管線32‧‧‧Gas supply line

34‧‧‧上部電極34‧‧‧upper electrode

36‧‧‧電極板36‧‧‧electrode plate

37‧‧‧氣體釋出孔37‧‧‧gas release hole

38‧‧‧電極支持體38‧‧‧ electrode support

40‧‧‧氣體擴散室40‧‧‧Gas diffusion chamber

41‧‧‧氣體通流孔41‧‧‧gas vent hole

42‧‧‧絶緣性遮蔽構件42‧‧‧ Insulating shielding member

46a‧‧‧低通濾波器46a‧‧‧Low-pass filter

50‧‧‧可變直流電源50‧‧‧ Variable DC Power Supply

51‧‧‧控制器51‧‧‧controller

52‧‧‧通—斷開關52‧‧‧on-off switch

62‧‧‧氣體導入口62‧‧‧Gas inlet

64‧‧‧氣體供給管64‧‧‧Gas supply pipe

66‧‧‧處理氣體供給源66‧‧‧Processing gas supply source

68‧‧‧質量流量控制器68‧‧‧mass flow controller

70‧‧‧開閉閥70‧‧‧ On-off valve

80‧‧‧排氣口80‧‧‧ exhaust port

82‧‧‧排氣管82‧‧‧Exhaust pipe

83‧‧‧排氣板83‧‧‧Exhaust plate

84‧‧‧排氣裝置84‧‧‧Exhaust device

85‧‧‧搬入搬出口85‧‧‧ moved in and out

86‧‧‧閘閥86‧‧‧Gate Valve

87‧‧‧匹配器87‧‧‧ Matcher

88‧‧‧匹配器88‧‧‧ Matcher

89‧‧‧第1高頻電源89‧‧‧The first high-frequency power supply

90‧‧‧第2高頻電源90‧‧‧ 2nd high frequency power supply

91‧‧‧導電性構件91‧‧‧ conductive member

95‧‧‧控制部95‧‧‧Control Department

96‧‧‧使用者介面96‧‧‧user interface

97‧‧‧記憶部97‧‧‧Memory Department

203‧‧‧含金屬遮罩203‧‧‧ with metal mask

204‧‧‧含矽沉積物204‧‧‧ Silicon-containing deposits

301‧‧‧被處理膜301‧‧‧treated film

302‧‧‧含金屬遮罩302‧‧‧ with metal mask

303a‧‧‧含矽沉積物303a‧‧‧ silicon-containing deposits

303b‧‧‧含矽沉積物303b‧‧‧ Silicon-containing sediment

303c‧‧‧含矽沉積物303c‧‧‧ Silicon-containing deposits

304‧‧‧既定圖案304‧‧‧ established pattern

401‧‧‧被處理膜401‧‧‧ treated film

402‧‧‧含金屬遮罩402‧‧‧ with metal mask

403‧‧‧含矽沉積物403‧‧‧ silicon-containing deposits

403a‧‧‧氧化區域403a‧‧‧oxidized area

404‧‧‧既定圖案404‧‧‧Predetermined pattern

W‧‧‧晶圓W‧‧‧ Wafer

S101~S103‧‧‧程序S101 ~ S103‧‧‧Procedure

【圖1】圖1係單純化第1實施形態之電漿蝕刻裝置而示意繪示的剖面圖。 【圖2】圖2係繪示第1實施形態之電漿蝕刻裝置的概略剖面圖。 【圖3】圖3係繪示第1實施形態之電漿蝕刻處理流程之一例的流程圖。 【圖4】圖4係繪示第1實施形態之沉積步驟的圖式。 【圖5】圖5係繪示反覆沉積步驟與蝕刻步驟之情況下,各步驟執行後之晶圓W剖面之一例的圖式。 【圖6】圖6係繪示反覆沉積步驟與蝕刻步驟之情況下,各步驟執行後之晶圓W剖面之另一例的圖式。 【圖7】圖7係繪示在沉積步驟與蝕刻步驟之間執行氧化步驟之情況下,各步驟執行後之晶圓W剖面之一例的圖式。 【圖8】圖8係繪示比較例1及實施例1之處理結果的圖式。[FIG. 1] FIG. 1 is a cross-sectional view schematically showing a plasma etching apparatus according to the first embodiment. [Fig. 2] Fig. 2 is a schematic sectional view showing a plasma etching apparatus according to the first embodiment. [Fig. 3] Fig. 3 is a flowchart showing an example of a plasma etching process flow of the first embodiment. [Fig. 4] Fig. 4 is a diagram showing a deposition step in the first embodiment. [Fig. 5] Fig. 5 is a diagram showing an example of a cross section of a wafer W after each step is performed in the case of a repeated deposition step and an etching step. [FIG. 6] FIG. 6 is a diagram illustrating another example of a wafer W section after each step is performed in the case of the repeated deposition step and the etching step. [FIG. 7] FIG. 7 is a diagram illustrating an example of a cross-section of a wafer W after each step is performed when an oxidation step is performed between a deposition step and an etching step. [Fig. 8] Fig. 8 is a diagram showing the processing results of Comparative Example 1 and Example 1. [Fig.

Claims (19)

一種電漿蝕刻方法,包括以下步驟: 沉積步驟,一邊以第1處理氣體之電漿濺射上部電極,一邊使含有構成該上部電極之元素的沉積物,沉積於具有既定之圖案的含金屬遮罩;以及 蝕刻步驟,以沉積了「含有構成該上部電極之元素的沉積物」之該含金屬遮罩作為遮罩,而以第2處理氣體之電漿來蝕刻被處理膜。A plasma etching method includes the following steps: a deposition step, while depositing an upper electrode with a plasma of a first process gas, depositing a deposit containing elements constituting the upper electrode on a metal-containing mask having a predetermined pattern; A mask; and an etching step, using the metal-containing mask on which "the deposit containing the elements constituting the upper electrode" is deposited as a mask, and etching the film to be treated with a plasma of a second processing gas. 如申請專利範圍第1項之電漿蝕刻方法,其中,該沉積步驟係藉由對於該上部電極施加負直流電壓、或藉由對於該上部電極施加13.56MHz以下之高頻電力、或藉由對於下部電極施加13.56MHz以下之高頻電力,而在該含金屬遮罩沉積出含有構成該上部電極之元素的沉積物。For example, the plasma etching method of item 1 of the patent application scope, wherein the deposition step is performed by applying a negative DC voltage to the upper electrode, or by applying high-frequency power below 13.56 MHz to the upper electrode, or by applying The lower electrode applies high-frequency power below 13.56 MHz, and a deposit containing elements constituting the upper electrode is deposited on the metal-containing mask. 如申請專利範圍第1或2項之電漿蝕刻方法,其中,該沉積步驟與該蝕刻步驟係交互反覆進行。For example, the plasma etching method according to item 1 or 2 of the application, wherein the deposition step and the etching step are performed alternately and repeatedly. 如申請專利範圍第3項之電漿蝕刻方法,其中,隨著該沉積步驟與該蝕刻步驟的反覆次數而使得形成於該被處理膜之既定圖案越深時,將該沉積步驟中之處理時間越加長。For example, the plasma etching method according to item 3 of the application, wherein the deeper the predetermined pattern formed on the film to be processed with the number of iterations of the deposition step and the etching step, the processing time in the deposition step is deeper. Longer. 如申請專利範圍第3項之電漿蝕刻方法,其中,隨著該沉積步驟與該蝕刻步驟之反覆次數而使得在形成於該被處理膜之既定圖案越深時,將該沉積步驟中施加於該上部電極之負直流電壓的絶對値越增大。For example, the plasma etching method according to item 3 of the patent application, wherein as the deposition step and the number of iterations of the etching step are repeated, the deeper the predetermined pattern formed on the film to be processed is applied to the deposition step in the The absolute value of the negative DC voltage of the upper electrode increases. 如申請專利範圍第3項之電漿蝕刻方法,其中,隨著該沉積步驟與該蝕刻步驟之反覆次數而使得形成於該被處理膜之既定圖案越深時,將該沉積步驟中之壓力越增大。For example, the plasma etching method according to item 3 of the patent application, wherein the deeper the predetermined pattern formed on the film to be processed with the number of iterations of the deposition step and the etching step, the greater the pressure in the deposition step. Increase. 如申請專利範圍第3項之電漿蝕刻方法,其中,隨著該沉積步驟與該蝕刻步驟之反覆次數而使得形成於該被處理膜之既定圖案越深時,將該蝕刻步驟中用以引入電漿中之離子的高頻電力越增大。For example, the plasma etching method according to item 3 of the patent application, wherein the deeper the predetermined pattern formed on the film to be processed with the number of iterations of the deposition step and the etching step is used to introduce the etching step. The higher the high-frequency power of the ions in the plasma, the greater the power. 如申請專利範圍第3項之電漿蝕刻方法,其中,隨著該沉積步驟與該蝕刻步驟之反覆次數而使得形成於該被處理膜之既定圖案越深時,將該蝕刻步驟中用以引入電漿中之離子的高頻電力之頻率越降低。For example, the plasma etching method according to item 3 of the patent application, wherein the deeper the predetermined pattern formed on the film to be processed with the number of iterations of the deposition step and the etching step is used to introduce the etching step. The lower the frequency of the high-frequency power of the ions in the plasma. 如申請專利範圍第3項之電漿蝕刻方法,其中,隨著該沉積步驟與該蝕刻步驟之反覆次數而使得形成於該被處理膜之既定圖案越深時,將該蝕刻步驟中之壓力越降低。For example, the plasma etching method according to item 3 of the application, wherein the deeper the predetermined pattern formed on the film to be processed with the number of iterations of the deposition step and the etching step, the greater the pressure in the etching step. reduce. 如申請專利範圍第1或2項之電漿蝕刻方法,其中,在該沉積步驟與該蝕刻步驟之間,更包括以下步驟: 氧化步驟,使沉積於該含金屬遮罩的該沉積物之表面,藉由含氧氣體的電漿予以氧化,而形成氧化區域; 該蝕刻步驟,於藉由該第2處理氣體之電漿而蝕刻該被處理膜的同時,將該氧化區域去除。For example, the plasma etching method according to item 1 or 2 of the patent application scope, wherein between the deposition step and the etching step, the method further includes the following steps: an oxidation step to deposit the surface of the deposit on the metal-containing mask And oxidizing the plasma with an oxygen-containing gas to form an oxidized region; the etching step removes the oxidized region while etching the film to be processed by the plasma of the second processing gas. 如申請專利範圍第10項之電漿蝕刻方法,其中,依序反覆進行該沉積步驟、該氧化步驟、以及該蝕刻步驟。For example, the plasma etching method according to item 10 of the application, wherein the deposition step, the oxidation step, and the etching step are sequentially performed repeatedly. 如申請專利範圍第11項之電漿蝕刻方法,其中,隨著該沉積步驟、該氧化步驟、以及該蝕刻步驟的反覆次數而使得形成於該被處理膜之既定圖案越深時,將該氧化步驟中之處理時間越加長。For example, the plasma etching method according to item 11 of the application, wherein as the deposition step, the oxidation step, and the number of iterations of the etching step make the predetermined pattern formed on the film to be processed deeper, the oxidation is performed. The longer the processing time in the step. 如申請專利範圍第11項之電漿蝕刻方法,其中,隨著該沉積步驟、該氧化步驟、以及該蝕刻步驟的反覆次數而使得形成於該被處理膜之既定圖案越深時,使該氧化步驟中之壓力越增大。For example, the plasma etching method according to item 11 of the application, wherein as the deposition step, the oxidation step, and the number of iterations of the etching step make the predetermined pattern formed on the film to be processed deeper, the oxidation is performed. The pressure in the step increases. 如申請專利範圍第11項之電漿蝕刻方法,其中,隨著該沉積步驟、該氧化步驟、以及該蝕刻步驟的反覆次數而使得形成於該被處理膜之既定圖案越深時,使該氧化步驟中用以產生電漿之高頻電力越增大。For example, the plasma etching method according to item 11 of the application, wherein as the deposition step, the oxidation step, and the number of iterations of the etching step make the predetermined pattern formed on the film to be processed deeper, the oxidation is performed. The higher the high-frequency power used to generate the plasma in the step. 如申請專利範圍第1或2項之電漿蝕刻方法,其中,該含金屬遮罩,係為金屬、金屬氮化物、金屬氧化物、金屬碳化物、或金屬與矽的化合物。For example, the plasma etching method of item 1 or 2 of the patent application scope, wherein the metal-containing mask is a metal, a metal nitride, a metal oxide, a metal carbide, or a metal and silicon compound. 如申請專利範圍第15項之電漿蝕刻方法,其中,該金屬含有鈦(Ti)、鉭(Ta)及鎢(W)中之至少任一種。For example, the plasma etching method according to item 15 of the application, wherein the metal contains at least any one of titanium (Ti), tantalum (Ta), and tungsten (W). 如申請專利範圍第1或2項之電漿蝕刻方法,其中,該被處理膜係含矽膜。For example, the plasma etching method of item 1 or 2 of the patent application scope, wherein the film to be processed is a silicon-containing film. 如申請專利範圍第1或2項之電漿蝕刻方法,其中,該第1處理氣體包含稀有氣體。For example, the plasma etching method according to item 1 or 2 of the patent application scope, wherein the first processing gas includes a rare gas. 如申請專利範圍第1或2項之電漿蝕刻方法,其中,該第2處理氣體包含CF類氣體。For example, the plasma etching method according to item 1 or 2 of the patent application scope, wherein the second processing gas includes a CF-based gas.
TW106141258A 2016-11-30 2017-11-28 Plasma etching method TWI750268B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2016232643 2016-11-30
JP2016-232643 2016-11-30
JP2017214314A JP7008474B2 (en) 2016-11-30 2017-11-07 Plasma etching method
JP2017-214314 2017-11-07

Publications (2)

Publication Number Publication Date
TW201832286A true TW201832286A (en) 2018-09-01
TWI750268B TWI750268B (en) 2021-12-21

Family

ID=62565717

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106141258A TWI750268B (en) 2016-11-30 2017-11-28 Plasma etching method

Country Status (3)

Country Link
JP (1) JP7008474B2 (en)
KR (1) KR102512580B1 (en)
TW (1) TWI750268B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113808929A (en) * 2020-06-12 2021-12-17 中微半导体设备(上海)股份有限公司 Method for forming semiconductor structure

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013003830A2 (en) 2011-06-30 2013-01-03 Saint-Gobain Ceramics & Plastics, Inc. Abrasive articles including abrasive particles of silicon nitride
KR101704411B1 (en) 2011-09-26 2017-02-08 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 Abrasive articles including abrasive particulate materials, coated abrasives using the abrasive particulate materials and methods of forming
KR102074138B1 (en) 2011-12-30 2020-02-07 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 Shaped abrasive particle and method of forming same
CA2862453A1 (en) 2011-12-30 2013-07-04 Saint-Gobain Ceramics & Plastics, Inc. Forming shaped abrasive particles
CA2860755C (en) 2012-01-10 2018-01-30 Saint-Gobain Ceramics & Plastics, Inc. Abrasive particles having complex shapes and methods of forming same
US8840696B2 (en) 2012-01-10 2014-09-23 Saint-Gobain Ceramics & Plastics, Inc. Abrasive particles having particular shapes and methods of forming such particles
EP2830829B1 (en) 2012-03-30 2018-01-10 Saint-Gobain Abrasives, Inc. Abrasive products having fibrillated fibers
PL2852473T3 (en) 2012-05-23 2021-06-28 Saint-Gobain Ceramics & Plastics Inc. Shaped abrasive particles and methods of forming same
US10106714B2 (en) 2012-06-29 2018-10-23 Saint-Gobain Ceramics & Plastics, Inc. Abrasive particles having particular shapes and methods of forming such particles
CN108015685B (en) 2012-10-15 2020-07-14 圣戈班磨料磨具有限公司 Abrasive particles having a particular shape
CN104994995B (en) 2012-12-31 2018-12-14 圣戈本陶瓷及塑料股份有限公司 Granular materials and forming method thereof
CA2984232C (en) 2013-03-29 2021-07-20 Saint-Gobain Abrasives, Inc. Abrasive particles having particular shapes and methods of forming such particles
TW201502263A (en) 2013-06-28 2015-01-16 Saint Gobain Ceramics Abrasive article including shaped abrasive particles
CN111978921A (en) 2013-09-30 2020-11-24 圣戈本陶瓷及塑料股份有限公司 Shaped abrasive particles and methods of forming the same
US9566689B2 (en) 2013-12-31 2017-02-14 Saint-Gobain Abrasives, Inc. Abrasive article including shaped abrasive particles
US9771507B2 (en) 2014-01-31 2017-09-26 Saint-Gobain Ceramics & Plastics, Inc. Shaped abrasive particle including dopant material and method of forming same
JP6321209B2 (en) 2014-04-14 2018-05-09 サン−ゴバン セラミックス アンド プラスティクス,インコーポレイティド Abrasive articles containing shaped abrasive particles
AU2015247739B2 (en) 2014-04-14 2017-10-26 Saint-Gobain Ceramics & Plastics, Inc. Abrasive article including shaped abrasive particles
WO2015184355A1 (en) 2014-05-30 2015-12-03 Saint-Gobain Abrasives, Inc. Method of using an abrasive article including shaped abrasive particles
US9707529B2 (en) 2014-12-23 2017-07-18 Saint-Gobain Ceramics & Plastics, Inc. Composite shaped abrasive particles and method of forming same
US9914864B2 (en) 2014-12-23 2018-03-13 Saint-Gobain Ceramics & Plastics, Inc. Shaped abrasive particles and method of forming same
US9676981B2 (en) 2014-12-24 2017-06-13 Saint-Gobain Ceramics & Plastics, Inc. Shaped abrasive particle fractions and method of forming same
EP3277459B1 (en) 2015-03-31 2023-08-16 Saint-Gobain Abrasives, Inc. Fixed abrasive articles and methods of forming same
TWI634200B (en) 2015-03-31 2018-09-01 聖高拜磨料有限公司 Fixed abrasive articles and methods of forming same
CA3118262C (en) 2015-06-11 2023-09-19 Saint-Gobain Ceramics & Plastics, Inc. Abrasive article including shaped abrasive particles
KR102422875B1 (en) 2016-05-10 2022-07-21 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 Abrasive particles and methods of forming same
ES2922927T3 (en) 2016-05-10 2022-09-21 Saint Gobain Ceramics & Plastics Inc Abrasive Particle Formation Procedures
US10563105B2 (en) 2017-01-31 2020-02-18 Saint-Gobain Ceramics & Plastics, Inc. Abrasive article including shaped abrasive particles
US10759024B2 (en) 2017-01-31 2020-09-01 Saint-Gobain Ceramics & Plastics, Inc. Abrasive article including shaped abrasive particles
EP3642293A4 (en) 2017-06-21 2021-03-17 Saint-Gobain Ceramics&Plastics, Inc. Particulate materials and methods of forming same
JP7203531B2 (en) 2018-08-08 2023-01-13 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP7034320B2 (en) * 2018-09-26 2022-03-11 東京エレクトロン株式会社 Etching method, etching residue removal method, and storage medium
JP7175162B2 (en) * 2018-11-05 2022-11-18 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus for object to be processed
JP7174634B2 (en) * 2019-01-18 2022-11-17 東京エレクトロン株式会社 Method for etching a film
EP4081369A4 (en) 2019-12-27 2024-04-10 Saint Gobain Ceramics Abrasive articles and methods of forming same
JP2021118347A (en) * 2020-01-29 2021-08-10 東京エレクトロン株式会社 Etching method, substrate processing apparatus and substrate processing system
WO2023008025A1 (en) * 2021-07-27 2023-02-02 東京エレクトロン株式会社 Etching method, method for manufacturing semiconductor device, etching program, and plasma processing device
JP2023120622A (en) * 2022-02-18 2023-08-30 東京エレクトロン株式会社 Film deposition method and film deposition apparatus

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69725245T2 (en) 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Process for etching substrates
JP4176365B2 (en) * 2002-03-25 2008-11-05 東京エレクトロン株式会社 Plasma etching method
KR101094953B1 (en) * 2005-06-02 2011-12-15 주식회사 하이닉스반도체 Method for forming micropattern in semiconductor device
JP5207406B2 (en) 2007-08-08 2013-06-12 株式会社アルバック Plasma processing method
KR101189847B1 (en) 2008-03-07 2012-10-10 가부시키가이샤 아루박 Plasma processing method
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5719579B2 (en) 2010-12-06 2015-05-20 株式会社アルバック Plasma etching method
JP2012142495A (en) 2011-01-05 2012-07-26 Ulvac Japan Ltd Plasma etching method and plasma etching apparatus
JPWO2013046640A1 (en) * 2011-09-26 2015-03-26 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP2014082228A (en) 2012-10-12 2014-05-08 Tokyo Electron Ltd Plasma etching method
JP5919183B2 (en) * 2012-12-17 2016-05-18 株式会社日立ハイテクノロジーズ Plasma etching method
JP6390427B2 (en) * 2014-01-07 2018-09-19 Jsr株式会社 Pattern formation method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113808929A (en) * 2020-06-12 2021-12-17 中微半导体设备(上海)股份有限公司 Method for forming semiconductor structure

Also Published As

Publication number Publication date
KR102512580B1 (en) 2023-03-21
TWI750268B (en) 2021-12-21
JP7008474B2 (en) 2022-01-25
KR20180062404A (en) 2018-06-08
JP2018093189A (en) 2018-06-14

Similar Documents

Publication Publication Date Title
TWI750268B (en) Plasma etching method
TWI665726B (en) Plasma etching emthod and plasma etching device
TWI540637B (en) Plasma etching method
KR100810773B1 (en) Plasma etching method and computer-readable storage medium
US10854430B2 (en) Plasma etching method
KR101858324B1 (en) Plasma etching method
TWI697046B (en) Etching method
TWI660394B (en) Plasma processing method and plasma processing device
JP5323306B2 (en) Plasma etching method and computer-readable storage medium
TWI684218B (en) Etching method (3)
JP2008078515A (en) Plasma treatment method
TWI716378B (en) Etching method
TW201705273A (en) Method for etching organic film
TW201334018A (en) Plasma processing apparatus and plasma processing method
TWI713486B (en) Etching method (two)
TW201724252A (en) Etching method
TWI420588B (en) Plasma etching method
TW201742149A (en) Etching method
TWI834289B (en) Method for cleaning plasma etching chamber and application thereof